OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_7/] [rtl/] [verilog/] [can_acf.v] - Blame information for rev 163

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_acf.v                                                   ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the CAN Protocol Controller            ////
7
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is available in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2002, 2003 Authors                             ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43 28 mohor
//// The CAN protocol is developed by Robert Bosch GmbH and       ////
44
//// protected by patents. Anybody who wants to implement this    ////
45
//// CAN IP core on silicon has to obtain a CAN protocol license  ////
46
//// from Bosch.                                                  ////
47
////                                                              ////
48 11 mohor
//////////////////////////////////////////////////////////////////////
49
//
50
// CVS Revision History
51
//
52
// $Log: not supported by cvs2svn $
53 30 mohor
// Revision 1.5  2003/02/09 18:40:29  mohor
54
// Overload fixed. Hard synchronization also enabled at the last bit of
55
// interframe.
56
//
57 29 mohor
// Revision 1.4  2003/02/09 02:24:33  mohor
58
// Bosch license warning added. Error counters finished. Overload frames
59
// still need to be fixed.
60
//
61 28 mohor
// Revision 1.3  2003/01/31 01:13:37  mohor
62
// backup.
63
//
64 24 mohor
// Revision 1.2  2003/01/14 12:19:35  mohor
65
// rx_fifo is now working.
66
//
67 16 mohor
// Revision 1.1  2003/01/08 02:13:15  mohor
68
// Acceptance filter added.
69 11 mohor
//
70
//
71
//
72 16 mohor
//
73 11 mohor
 
74
// synopsys translate_off
75
`include "timescale.v"
76
// synopsys translate_on
77
`include "can_defines.v"
78
 
79
module can_acf
80
(
81
  clk,
82
  rst,
83
 
84
  id,
85
 
86
  /* Mode register */
87
  reset_mode,
88
  acceptance_filter_mode,
89
 
90
  extended_mode,
91
 
92
  acceptance_code_0,
93
  acceptance_code_1,
94
  acceptance_code_2,
95
  acceptance_code_3,
96
  acceptance_mask_0,
97
  acceptance_mask_1,
98
  acceptance_mask_2,
99
  acceptance_mask_3,
100
 
101
  go_rx_crc_lim,
102 29 mohor
  go_rx_inter,
103 30 mohor
  go_error_frame,
104 11 mohor
 
105
  data0,
106
  data1,
107
  rtr1,
108
  rtr2,
109
  ide,
110 16 mohor
  no_byte0,
111
  no_byte1,
112 11 mohor
 
113
 
114
  id_ok
115
 
116
 
117
);
118
 
119
parameter Tp = 1;
120
 
121
input         clk;
122
input         rst;
123
input  [28:0] id;
124
input         reset_mode;
125
input         acceptance_filter_mode;
126
input         extended_mode;
127
 
128
input   [7:0] acceptance_code_0;
129
input   [7:0] acceptance_code_1;
130
input   [7:0] acceptance_code_2;
131
input   [7:0] acceptance_code_3;
132
input   [7:0] acceptance_mask_0;
133
input   [7:0] acceptance_mask_1;
134
input   [7:0] acceptance_mask_2;
135
input   [7:0] acceptance_mask_3;
136
input         go_rx_crc_lim;
137 29 mohor
input         go_rx_inter;
138 30 mohor
input         go_error_frame;
139 11 mohor
input   [7:0] data0;
140
input   [7:0] data1;
141
input         rtr1;
142
input         rtr2;
143
input         ide;
144 16 mohor
input         no_byte0;
145
input         no_byte1;
146 11 mohor
 
147
 
148
output        id_ok;
149
 
150
reg           id_ok;
151
 
152
wire          match;
153
wire          match_sf_std;
154
wire          match_sf_ext;
155
wire          match_df_std;
156
wire          match_df_ext;
157
 
158
 
159
// Working in basic mode. ID match for standard format (11-bit ID).
160
assign match =        ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
161
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
162
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
163
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
164
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
165
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
166
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
167
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] )
168
                      );
169
 
170
 
171
// Working in extended mode. ID match for standard format (11-bit ID). Using single filter.
172
assign match_sf_std = ( (id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
173
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
174
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
175
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
176
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
177
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
178
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
179
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
180
 
181
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
182
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
183
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
184
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
185
 
186 16 mohor
                        (data0[0]  == acceptance_code_2[0] | acceptance_mask_2[0] | no_byte0) &
187
                        (data0[1]  == acceptance_code_2[1] | acceptance_mask_2[1] | no_byte0) &
188
                        (data0[2]  == acceptance_code_2[2] | acceptance_mask_2[2] | no_byte0) &
189
                        (data0[3]  == acceptance_code_2[3] | acceptance_mask_2[3] | no_byte0) &
190
                        (data0[4]  == acceptance_code_2[4] | acceptance_mask_2[4] | no_byte0) &
191
                        (data0[5]  == acceptance_code_2[5] | acceptance_mask_2[5] | no_byte0) &
192
                        (data0[6]  == acceptance_code_2[6] | acceptance_mask_2[6] | no_byte0) &
193
                        (data0[7]  == acceptance_code_2[7] | acceptance_mask_2[7] | no_byte0) &
194 11 mohor
 
195 16 mohor
                        (data1[0]  == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte1) &
196
                        (data1[1]  == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte1) &
197
                        (data1[2]  == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte1) &
198
                        (data1[3]  == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte1) &
199
                        (data1[4]  == acceptance_code_3[4] | acceptance_mask_3[4] | no_byte1) &
200
                        (data1[5]  == acceptance_code_3[5] | acceptance_mask_3[5] | no_byte1) &
201
                        (data1[6]  == acceptance_code_3[6] | acceptance_mask_3[6] | no_byte1) &
202
                        (data1[7]  == acceptance_code_3[7] | acceptance_mask_3[7] | no_byte1)
203 11 mohor
                      );
204
 
205
 
206 16 mohor
 
207 11 mohor
// Working in extended mode. ID match for extended format (29-bit ID). Using single filter.
208
assign match_sf_ext = ( (id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
209
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
210
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
211
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
212
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
213
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
214
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
215
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
216
 
217
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
218
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
219
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
220
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
221
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
222
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
223
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
224
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
225
 
226
                        (id[5]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
227
                        (id[6]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
228
                        (id[7]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
229
                        (id[8]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
230
                        (id[9]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
231
                        (id[10] == acceptance_code_2[5] | acceptance_mask_2[5] ) &
232
                        (id[11] == acceptance_code_2[6] | acceptance_mask_2[6] ) &
233
                        (id[12] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
234
 
235
                        (rtr2   == acceptance_code_3[2] | acceptance_mask_3[2] ) &
236
                        (id[0]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
237
                        (id[1]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
238
                        (id[2]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
239
                        (id[3]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
240
                        (id[4]  == acceptance_code_3[7] | acceptance_mask_3[7] )
241
 
242
                      );
243
 
244
 
245
// Working in extended mode. ID match for standard format (11-bit ID). Using double filter.
246
assign match_df_std = (((id[3]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
247
                        (id[4]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
248
                        (id[5]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
249
                        (id[6]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
250
                        (id[7]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
251
                        (id[8]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
252
                        (id[9]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
253
                        (id[10] == acceptance_code_0[7] | acceptance_mask_0[7] ) &
254
 
255
                        (rtr1   == acceptance_code_1[4] | acceptance_mask_1[4] ) &
256
                        (id[0]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
257
                        (id[1]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
258
                        (id[2]  == acceptance_code_1[7] | acceptance_mask_1[7] ) &
259
 
260 16 mohor
                        (data0[0] == acceptance_code_3[0] | acceptance_mask_3[0] | no_byte0) &
261
                        (data0[1] == acceptance_code_3[1] | acceptance_mask_3[1] | no_byte0) &
262
                        (data0[2] == acceptance_code_3[2] | acceptance_mask_3[2] | no_byte0) &
263
                        (data0[3] == acceptance_code_3[3] | acceptance_mask_3[3] | no_byte0) &
264
                        (data0[4] == acceptance_code_1[4] | acceptance_mask_1[4] | no_byte0) &
265
                        (data0[5] == acceptance_code_1[5] | acceptance_mask_1[5] | no_byte0) &
266
                        (data0[6] == acceptance_code_1[6] | acceptance_mask_1[6] | no_byte0) &
267
                        (data0[7] == acceptance_code_1[7] | acceptance_mask_1[7] | no_byte0) )
268 11 mohor
 
269
                       |
270
 
271
                       ((id[3]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
272
                        (id[4]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
273
                        (id[5]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
274
                        (id[6]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
275
                        (id[7]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
276
                        (id[8]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
277
                        (id[9]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
278
                        (id[10] == acceptance_code_2[7] | acceptance_mask_2[7] ) &
279
 
280
                        (rtr1   == acceptance_code_3[4] | acceptance_mask_3[4] ) &
281
                        (id[0]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
282
                        (id[1]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
283
                        (id[2]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
284
 
285
                      );
286
 
287
 
288
// Working in extended mode. ID match for extended format (29-bit ID). Using double filter.
289
assign match_df_ext = (((id[21]  == acceptance_code_0[0] | acceptance_mask_0[0] ) &
290
                        (id[22]  == acceptance_code_0[1] | acceptance_mask_0[1] ) &
291
                        (id[23]  == acceptance_code_0[2] | acceptance_mask_0[2] ) &
292
                        (id[24]  == acceptance_code_0[3] | acceptance_mask_0[3] ) &
293
                        (id[25]  == acceptance_code_0[4] | acceptance_mask_0[4] ) &
294
                        (id[26]  == acceptance_code_0[5] | acceptance_mask_0[5] ) &
295
                        (id[27]  == acceptance_code_0[6] | acceptance_mask_0[6] ) &
296
                        (id[28]  == acceptance_code_0[7] | acceptance_mask_0[7] ) &
297
 
298
                        (id[13]  == acceptance_code_1[0] | acceptance_mask_1[0] ) &
299
                        (id[14]  == acceptance_code_1[1] | acceptance_mask_1[1] ) &
300
                        (id[15]  == acceptance_code_1[2] | acceptance_mask_1[2] ) &
301
                        (id[16]  == acceptance_code_1[3] | acceptance_mask_1[3] ) &
302
                        (id[17]  == acceptance_code_1[4] | acceptance_mask_1[4] ) &
303
                        (id[18]  == acceptance_code_1[5] | acceptance_mask_1[5] ) &
304
                        (id[19]  == acceptance_code_1[6] | acceptance_mask_1[6] ) &
305
                        (id[20]  == acceptance_code_1[7] | acceptance_mask_1[7] ) )
306
 
307
                       |
308
 
309
                       ((id[21]  == acceptance_code_2[0] | acceptance_mask_2[0] ) &
310
                        (id[22]  == acceptance_code_2[1] | acceptance_mask_2[1] ) &
311
                        (id[23]  == acceptance_code_2[2] | acceptance_mask_2[2] ) &
312
                        (id[24]  == acceptance_code_2[3] | acceptance_mask_2[3] ) &
313
                        (id[25]  == acceptance_code_2[4] | acceptance_mask_2[4] ) &
314
                        (id[26]  == acceptance_code_2[5] | acceptance_mask_2[5] ) &
315
                        (id[27]  == acceptance_code_2[6] | acceptance_mask_2[6] ) &
316
                        (id[28]  == acceptance_code_2[7] | acceptance_mask_2[7] ) &
317
 
318
                        (id[13]  == acceptance_code_3[0] | acceptance_mask_3[0] ) &
319
                        (id[14]  == acceptance_code_3[1] | acceptance_mask_3[1] ) &
320
                        (id[15]  == acceptance_code_3[2] | acceptance_mask_3[2] ) &
321
                        (id[16]  == acceptance_code_3[3] | acceptance_mask_3[3] ) &
322
                        (id[17]  == acceptance_code_3[4] | acceptance_mask_3[4] ) &
323
                        (id[18]  == acceptance_code_3[5] | acceptance_mask_3[5] ) &
324
                        (id[19]  == acceptance_code_3[6] | acceptance_mask_3[6] ) &
325
                        (id[20]  == acceptance_code_3[7] | acceptance_mask_3[7] ) )
326
                      );
327
 
328
 
329
 
330
// ID ok signal generation
331
always @ (posedge clk or posedge rst)
332
begin
333
  if (rst)
334
    id_ok <= 0;
335 24 mohor
  else if (go_rx_crc_lim)                   // sample_point is already included in go_rx_crc_lim
336 11 mohor
    begin
337
      if (extended_mode)
338
        begin
339
          if (acceptance_filter_mode)       // dual filter
340
            begin
341 29 mohor
              if (ide)                      // extended frame message
342 11 mohor
                id_ok <=#Tp match_df_ext;
343 29 mohor
              else                          // standard frame message
344 11 mohor
                id_ok <=#Tp match_df_std;
345
            end
346
          else                              // single filter
347
            begin
348
              if (ide)                      // extended frame message
349
                id_ok <=#Tp match_sf_ext;
350
              else                          // standard frame message
351
                id_ok <=#Tp match_sf_std;
352
            end
353
        end
354
      else
355
        id_ok <=#Tp match;
356
    end
357 30 mohor
  else if (reset_mode | go_rx_inter | go_error_frame)        // sample_point is already included in go_rx_inter
358 11 mohor
    id_ok <=#Tp 0;
359
end
360
 
361
 
362
 
363
 
364
 
365
 
366
 
367
 
368
 
369
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.