OpenCores
URL https://opencores.org/ocsvn/can/can/trunk

Subversion Repositories can

[/] [can/] [tags/] [rel_9/] [bench/] [verilog/] [can_testbench_defines.v] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  can_testbench_defines.v                                     ////
4
////                                                              ////
5
////                                                              ////
6 9 mohor
////  This file is part of the CAN Protocol Controller            ////
7 8 mohor
////  http://www.opencores.org/projects/can/                      ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15 9 mohor
////  All additional information is available in the README.txt   ////
16 8 mohor
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20 9 mohor
//// Copyright (C) 2002, 2003 Authors                             ////
21 8 mohor
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 10 mohor
// Revision 1.2  2002/12/27 00:12:48  mohor
49
// Header changed, testbench improved to send a frame (crc still missing).
50
//
51 9 mohor
// Revision 1.1  2002/12/26 16:00:29  mohor
52
// Testbench define file added. Clock divider register added.
53 8 mohor
//
54
//
55
//
56 9 mohor
//
57 8 mohor
 
58 10 mohor
// Mode register
59
`define CAN_MODE_RESET                  1'h1    // Reset mode
60
 
61 8 mohor
// Bit Timing 0 register value
62
`define CAN_TIMING0_BRP                 6'h1    // Baud rate prescaler (2*(value+1))
63
`define CAN_TIMING0_SJW                 2'h2    // SJW (value+1)
64
 
65
// Bit Timing 1 register value
66
`define CAN_TIMING1_TSEG1               4'h4    // TSEG1 segment (value+1)
67
`define CAN_TIMING1_TSEG2               3'h3    // TSEG2 segment (value+1)
68
`define CAN_TIMING1_SAM                 1'h0    // Triple sampling
69
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.