OpenCores
URL https://opencores.org/ocsvn/ccsds_rxtxsoc/ccsds_rxtxsoc/trunk

Subversion Repositories ccsds_rxtxsoc

[/] [ccsds_rxtxsoc/] [trunk/] [ccsds_rxtx_parameters.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zguig52
-------------------------------
2
---- Project: EurySPACE CCSDS RX/TX with wishbone interface
3
---- Design Name: ccsds_rxtx_parameters
4
---- Version: 1.0.0
5
---- Description:
6
---- Project / design specific parameters
7
-------------------------------
8
---- Author(s):
9
---- Guillaume Rembert
10
-------------------------------
11
---- Licence:
12
---- MIT
13
-------------------------------
14
---- Changes list:
15
---- 2015/11/17: initial release
16
---- 2016/10/20: rework / remove non-systems parameters / each component has his own parameters set at proper level
17
-------------------------------
18
 
19
-- libraries used
20
library ieee;
21
use ieee.std_logic_1164.all;
22
 
23
package ccsds_rxtx_parameters is
24
-- SYSTEM CONFIGURATION
25
  constant RXTX_SYSTEM_WB_DATA_BUS_SIZE: integer := 32;-- Wishbone slave data bus size (bits)
26
  constant RXTX_SYSTEM_WB_ADDR_BUS_SIZE: integer := 4;-- Wishbone slave address bus size (bits)
27
-- RX CONFIGURATION
28
  constant RX_SYSTEM_AUTO_ENABLED: boolean := true;--Automatic activation of RX at startup
29
-- TX CONFIGURATION
30
  constant TX_SYSTEM_AUTO_ENABLED: boolean := true;--Automatic activation of TX at startup
31
  constant TX_SYSTEM_AUTO_EXTERNAL: boolean := false;--Automatic configuration of TX to use external clock and data
32
-- LAYERS CONFIGURATION
33
  -- APPLICATION LAYER
34
  -- PRESENTATION LAYER
35
  -- SESSION LAYER
36
  -- TRANSPORT LAYER
37
  -- NETWORK LAYER
38
  -- DATALINK LAYER
39
  -- PHYSICAL LAYER
40
  constant TX_PHYS_SIG_QUANT_DEPTH: integer := 8;-- DIGITAL PROCESSING QUANTIFICATION DEPTH IN BITS NUMBER
41
  constant RX_PHYS_SIG_QUANT_DEPTH: integer := 16;-- DIGITAL PROCESSING QUANTIFICATION DEPTH IN BITS NUMBER
42
end ccsds_rxtx_parameters;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.