OpenCores
URL https://opencores.org/ocsvn/cic_core/cic_core/trunk

Subversion Repositories cic_core

[/] [cic_core/] [trunk/] [src/] [downsampler.sv] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 vadimuzzz
module downsampler
2
/*********************************************************************************************/
3
#(parameter dw = 8, r = 4)
4
/*********************************************************************************************/
5
(
6
    input   clk,
7
    input   reset_n,
8
    input   [dw-1:0] data_in,
9
    output  reg [dw-1:0] data_out,
10
    output  reg dv
11
);
12
/*********************************************************************************************/
13
reg [$clog2(r)-1:0] counter;
14
/*********************************************************************************************/
15
always_ff @(posedge clk)
16
begin
17
    if (!reset_n) begin
18
        counter <= '0;
19
        data_out <= '0;
20
        dv <= 1'b0;
21
    end
22
    else begin
23
        counter <= (counter < r-1) ? counter + 1 : '0;
24
        dv <= (counter == r-1);
25
        data_out <= (counter == r-1) ? data_in : data_out;
26
    end
27
end
28
/*********************************************************************************************/
29
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.