OpenCores
URL https://opencores.org/ocsvn/common/common/trunk

Subversion Repositories common

[/] [common/] [trunk/] [opencores_copyright.v] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 bbeaver
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// YOUR MODULE OR FILE NAME HERE                                ////
4
////                                                              ////
5
//// This file is part of the YOUR PROJECT NAME opencores effort. ////
6
//// <http://www.opencores.org/cores/YOUR DIRECTORY/>             ////
7
////                                                              ////
8
//// Module Description:                                          ////
9
//// YOUR MODULE DESCRIPTION                                      ////
10
////                                                              ////
11
//// To Do:                                                       ////
12
//// YOUR STATE HERE                                              ////
13
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - YOUR NAME HERE                                             ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19 7 bbeaver
//// Copyright (C) 2001 YOUR NAME HERE and OPENCORES.ORG          ////
20 6 bbeaver
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE. See the GNU Lesser General Public License for more  ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from <http://www.opencores.org/lgpl.shtml>                   ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// \$Id\$  TAKE OUT THE \'s and this comment in order to get this to work
45
//
46
// CVS Revision History
47
//
48
// \$Log\$  TAKE OUT THE \'s and this comment in order to get this to work
49
//
50
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.