OpenCores
URL https://opencores.org/ocsvn/common_components/common_components/trunk

Subversion Repositories common_components

[/] [common_components/] [trunk/] [common_components_pkg.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 danv
-------------------------------------------------------------------------------
2
--
3 4 danv
-- Copyright 2020
4 3 danv
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6 4 danv
-- 
7
-- Licensed under the Apache License, Version 2.0 (the "License");
8
-- you may not use this file except in compliance with the License.
9
-- You may obtain a copy of the License at
10
-- 
11
--     http://www.apache.org/licenses/LICENSE-2.0
12
-- 
13
-- Unless required by applicable law or agreed to in writing, software
14
-- distributed under the License is distributed on an "AS IS" BASIS,
15
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
-- See the License for the specific language governing permissions and
17
-- limitations under the License.
18 3 danv
--
19
-------------------------------------------------------------------------------
20
 
21
LIBRARY IEEE, common_pkg_lib;
22
USE IEEE.std_logic_1164.ALL;
23
USE common_pkg_lib.common_pkg.ALL;
24
--USE work.common_mem_pkg.ALL;
25
 
26
-- Purpose: Component declarations to check positional mapping
27
-- Description:
28
-- Remarks:
29
 
30
PACKAGE common_components_pkg IS
31
 
32
  COMPONENT common_pipeline IS
33
  GENERIC (
34
    g_representation : STRING  := "SIGNED";   -- or "UNSIGNED"
35
    g_pipeline       : NATURAL := 1;  -- 0 for wires, > 0 for registers, 
36
    g_reset_value    : INTEGER := 0;
37
    g_in_dat_w       : NATURAL := 8;
38
    g_out_dat_w      : NATURAL := 9
39
  );
40
  PORT (
41
    rst     : IN  STD_LOGIC := '0';
42
    clk     : IN  STD_LOGIC;
43
    clken   : IN  STD_LOGIC := '1';
44
    in_clr  : IN  STD_LOGIC := '0';
45
    in_en   : IN  STD_LOGIC := '1';
46
    in_dat  : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
47
    out_dat : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0)
48
  );
49
  END COMPONENT;
50
 
51
  COMPONENT common_pipeline_sl IS
52
    GENERIC (
53
      g_pipeline       : NATURAL := 1;  -- 0 for wires, > 0 for registers, 
54
      g_reset_value    : NATURAL := 0;  -- 0 or 1, bit reset value,
55
      g_out_invert     : BOOLEAN := FALSE
56
    );
57
    PORT (
58
      rst     : IN  STD_LOGIC := '0';
59
      clk     : IN  STD_LOGIC;
60
      clken   : IN  STD_LOGIC := '1';
61
      in_clr  : IN  STD_LOGIC := '0';
62
      in_en   : IN  STD_LOGIC := '1';
63
      in_dat  : IN  STD_LOGIC;
64
      out_dat : OUT STD_LOGIC
65
    );
66
  END COMPONENT;
67
 
68
END common_components_pkg;
69
 
70
 
71
PACKAGE BODY common_components_pkg IS
72
END common_components_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.