OpenCores
URL https://opencores.org/ocsvn/common_components/common_components/trunk

Subversion Repositories common_components

[/] [common_components/] [trunk/] [common_pipeline.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 danv
-------------------------------------------------------------------------------
2
--
3 4 danv
-- Copyright 2020
4 3 danv
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6 4 danv
-- 
7
-- Licensed under the Apache License, Version 2.0 (the "License");
8
-- you may not use this file except in compliance with the License.
9
-- You may obtain a copy of the License at
10
-- 
11
--     http://www.apache.org/licenses/LICENSE-2.0
12
-- 
13
-- Unless required by applicable law or agreed to in writing, software
14
-- distributed under the License is distributed on an "AS IS" BASIS,
15
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
-- See the License for the specific language governing permissions and
17
-- limitations under the License.
18 3 danv
--
19
-------------------------------------------------------------------------------
20
 
21
LIBRARY IEEE, common_pkg_lib;
22
USE IEEE.std_logic_1164.ALL;
23
USE common_pkg_lib.common_pkg.ALL;
24
 
25
ENTITY common_pipeline IS
26
  GENERIC (
27
    g_representation : STRING  := "SIGNED";   -- or "UNSIGNED"
28
    g_pipeline       : NATURAL := 1;  -- 0 for wires, > 0 for registers, 
29
    g_reset_value    : INTEGER := 0;
30
    g_in_dat_w       : NATURAL := 8;
31
    g_out_dat_w      : NATURAL := 9
32
  );
33
  PORT (
34
    rst     : IN  STD_LOGIC := '0';
35
    clk     : IN  STD_LOGIC;
36
    clken   : IN  STD_LOGIC := '1';
37
    in_clr  : IN  STD_LOGIC := '0';
38
    in_en   : IN  STD_LOGIC := '1';
39
    in_dat  : IN  STD_LOGIC_VECTOR(g_in_dat_w-1 DOWNTO 0);
40
    out_dat : OUT STD_LOGIC_VECTOR(g_out_dat_w-1 DOWNTO 0)
41
  );
42
END common_pipeline;
43
 
44
 
45
ARCHITECTURE rtl OF common_pipeline IS
46
 
47
  CONSTANT c_reset_value : STD_LOGIC_VECTOR(out_dat'RANGE) := TO_SVEC(g_reset_value, out_dat'LENGTH);
48
 
49
  TYPE t_out_dat IS ARRAY (NATURAL RANGE <>) OF STD_LOGIC_VECTOR(out_dat'RANGE);
50
 
51
  SIGNAL out_dat_p  : t_out_dat(0 TO g_pipeline) := (OTHERS=>c_reset_value);
52
 
53
BEGIN
54
 
55
  gen_pipe_n : IF g_pipeline>0 GENERATE
56
    p_clk : PROCESS(clk, rst)
57
    BEGIN
58
      IF rst='1' THEN
59
        out_dat_p(1 TO g_pipeline) <= (OTHERS=>c_reset_value);
60
      ELSIF rising_edge(clk) THEN
61
        IF clken='1' THEN
62
          IF in_clr = '1' THEN
63
            out_dat_p(1 TO g_pipeline) <= (OTHERS=>c_reset_value);
64
          ELSIF in_en = '1' THEN
65
            out_dat_p(1 TO g_pipeline) <= out_dat_p(0 TO g_pipeline-1);
66
          END IF;
67
        END IF;
68
      END IF;
69
    END PROCESS;
70
  END GENERATE;
71
 
72
  out_dat_p(0) <= RESIZE_SVEC(in_dat, out_dat'LENGTH) WHEN g_representation=  "SIGNED" ELSE
73
                  RESIZE_UVEC(in_dat, out_dat'LENGTH) WHEN g_representation="UNSIGNED";
74
 
75
  out_dat <= out_dat_p(g_pipeline);
76
 
77
END rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.