OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [BUILD_SCC/] [DE2/] [async_receiver_altera.v] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 sumanta.ch
module async_receiver(clk, RxD, RxD_data_ready, RxD_data, RxD_endofpacket, RxD_idle);
2
input clk, RxD;
3
output RxD_data_ready;  // onc clock pulse when RxD_data is valid
4
output [7:0] RxD_data;
5
 
6 7 sumanta.ch
//parameter ClkFrequency = 50000000; // 50MHz
7
parameter ClkFrequency = 27000000; // 27MHz
8 4 sumanta.ch
parameter Baud = 115200;
9
 
10
// We also detect if a gap occurs in the received stream of characters
11
// That can be useful if multiple characters are sent in burst
12
//  so that multiple characters can be treated as a "packet"
13
output RxD_endofpacket;  // one clock pulse, when no more data is received (RxD_idle is going high)
14
output RxD_idle;  // no data is being received
15
 
16
// Baud generator (we use 8 times oversampling)
17
parameter Baud8 = Baud*8;
18
parameter Baud8GeneratorAccWidth = 16;
19
parameter Baud8GeneratorInc = ((Baud8<<(Baud8GeneratorAccWidth-7))+(ClkFrequency>>8))/(ClkFrequency>>7);
20
reg [Baud8GeneratorAccWidth:0] Baud8GeneratorAcc;
21
always @(posedge clk) Baud8GeneratorAcc <= Baud8GeneratorAcc[Baud8GeneratorAccWidth-1:0] + Baud8GeneratorInc;
22
wire Baud8Tick = Baud8GeneratorAcc[Baud8GeneratorAccWidth];
23
 
24
////////////////////////////
25
reg [1:0] RxD_sync_inv;
26
always @(posedge clk) if(Baud8Tick) RxD_sync_inv <= {RxD_sync_inv[0], ~RxD};
27
// we invert RxD, so that the idle becomes "0", to prevent a phantom character to be received at startup
28
 
29
reg [1:0] RxD_cnt_inv;
30
reg RxD_bit_inv;
31
 
32
always @(posedge clk)
33
if(Baud8Tick)
34
begin
35
  if( RxD_sync_inv[1] && RxD_cnt_inv!=2'b11) RxD_cnt_inv <= RxD_cnt_inv + 1;
36
  else
37
  if(~RxD_sync_inv[1] && RxD_cnt_inv!=2'b00) RxD_cnt_inv <= RxD_cnt_inv - 1;
38
 
39
  if(RxD_cnt_inv==2'b00) RxD_bit_inv <= 0;
40
  else
41
  if(RxD_cnt_inv==2'b11) RxD_bit_inv <= 1;
42
end
43
 
44
reg [3:0] state;
45
reg [3:0] bit_spacing;
46
 
47
// "next_bit" controls when the data sampling occurs
48
// depending on how noisy the RxD is, different values might work better
49
// with a clean connection, values from 8 to 11 work
50
wire next_bit = (bit_spacing==10);
51
 
52
always @(posedge clk)
53
if(state==0)
54
  bit_spacing <= 0;
55
else
56
if(Baud8Tick)
57
  bit_spacing <= {bit_spacing[2:0] + 1} | {bit_spacing[3], 3'b000};
58
 
59
always @(posedge clk)
60
if(Baud8Tick)
61
case(state)
62
  4'b0000: if(RxD_bit_inv) state <= 4'b1000;  // start bit found?
63
  4'b1000: if(next_bit) state <= 4'b1001;  // bit 0
64
  4'b1001: if(next_bit) state <= 4'b1010;  // bit 1
65
  4'b1010: if(next_bit) state <= 4'b1011;  // bit 2
66
  4'b1011: if(next_bit) state <= 4'b1100;  // bit 3
67
  4'b1100: if(next_bit) state <= 4'b1101;  // bit 4
68
  4'b1101: if(next_bit) state <= 4'b1110;  // bit 5
69
  4'b1110: if(next_bit) state <= 4'b1111;  // bit 6
70
  4'b1111: if(next_bit) state <= 4'b0001;  // bit 7
71
  4'b0001: if(next_bit) state <= 4'b0000;  // stop bit
72
  default: state <= 4'b0000;
73
endcase
74
 
75
reg [7:0] RxD_data;
76
always @(posedge clk)
77
if(Baud8Tick && next_bit && state[3]) RxD_data <= {~RxD_bit_inv, RxD_data[7:1]};
78
 
79
reg RxD_data_ready, RxD_data_error;
80
always @(posedge clk)
81
begin
82
  RxD_data_ready <= (Baud8Tick && next_bit && state==4'b0001 && ~RxD_bit_inv);  // ready only if the stop bit is received
83
  RxD_data_error <= (Baud8Tick && next_bit && state==4'b0001 &&  RxD_bit_inv);  // error if the stop bit is not received
84
end
85
 
86
reg [4:0] gap_count;
87
always @(posedge clk) if (state!=0) gap_count<=0; else if(Baud8Tick & ~gap_count[4]) gap_count <= gap_count + 1;
88
assign RxD_idle = gap_count[4];
89
reg RxD_endofpacket; always @(posedge clk) RxD_endofpacket <= Baud8Tick & (gap_count==15);
90
 
91
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.