OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [XILINX/] [BUILD_SCC_SRCH/] [SP6/] [SP6.synplify.tcl] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 sumanta.ch
 
2
set project_name DE2
3
set top_level    DE2
4
set sdc_constraints constraints.sdc
5
 
6
# create a new project
7
project -new ${project_name}
8
 
9
# add coregen related files, if present
10
if {[file exists coregen.tcl]} {
11
  source coregen.tcl
12
}
13
 
14
# add verilog files
15
# top level design must be last
16
 
17
set mcsfiles [glob -directory ../../macrocells -nocomplain -tails -types f -- {*.v}]
18
foreach mcs ${mcsfiles} {
19
  if [ regexp -- {assertions} ${mcs} ] {
20
     continue
21
  }
22
  add_file -verilog "../../macrocells/${mcs}"
23
}
24
 
25
set rtlfiles [glob -directory ../../rtl -nocomplain -tails -types f -- {*.v}]
26
foreach rtl ${rtlfiles} {
27
  if [ regexp -- {assertions} ${rtl} ] {
28
     continue
29
  }
30
  add_file -verilog "../../rtl/${rtl}"
31
}
32
#set_global_assignment -name VERILOG_FILE ../../../../rtl_package/simu_stubs/vsim/bram_based_stream_buffer.v
33
set sp6files [glob -directory  ../../../../SP6/ -nocomplain -tails -types f -- {*\.vhd}]
34
foreach mcs ${sp6files} {
35
 if [ regexp -- {assertions} ${mcs} ] {
36
    continue
37
}
38
  add_file -vhdl "../../../../SP6/${mcs}"
39
}
40
#DE2 files
41
set sp6files [glob -directory  ../../../../SP6/ -nocomplain -tails -types f -- {*\.v}]
42
foreach mcs ${sp6files} {
43
 if [ regexp -- {assertions} ${mcs} ] {
44
    continue
45
}
46
  add_file -verilog "../../../../SP6/${mcs}"
47
}
48
 
49
# setting options and constraints
50
 
51
set_option -top_module ${top_level}
52
add_file "${sdc_constraints}"
53
set_option -technology spartan6
54
set_option -part xc6slx45t
55
set_option -package fgg484
56
set_option -speed_grade -3
57
 
58
#compilation/mapping options
59
set_option -default_enum_encoding onehot
60
set_option -symbolic_fsm_compiler 1
61
set_option -resource_sharing 1
62
set_option -use_fsm_explorer 0
63
 
64
#map options
65
set_option -frequency 20
66
set_option -run_prop_extract 1
67
 
68
#Not setting the fanout limit. 
69
#Synplify to pick up appropriate fanout
70
#set_option -fanout_limit 10000
71
 
72
set_option -disable_io_insertion 0
73
set_option -pipe 1
74
set_option -update_models_cp 0
75
set_option -verification_mode 0
76
set_option -modular 0
77
set_option -retiming 0
78
set_option -no_sequential_opt 0
79
set_option -fixgatedclocks 0
80
 
81
#simulation options
82
set_option -write_verilog 1
83
set_option -write_vhdl 0
84
#VIF options
85
set_option -write_vif 1
86
 
87
#automatic place and route (vendor) options
88
set_option -write_apr_constraint 1
89
 
90
project -result_file run/synthesis/${top_level}.edf
91
 
92
#implementation attributes
93
set_option -vlog_std v2001
94
set_option -synthesis_onoff_pragma 0
95
set_option -project_relative_includes 1
96
 
97
# compile the design
98
project -run
99
project -save

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.