OpenCores
URL https://opencores.org/ocsvn/copyblaze/copyblaze/trunk

Subversion Repositories copyblaze

[/] [copyblaze/] [trunk/] [copyblaze/] [sim/] [rtl_sim/] [bin/] [tb_copyBlaze_ecoSystem_wb-sram-3p_wave.do] - Blame information for rev 64

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 64 ameziti
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -divider {WB SRAM}
4
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/data_width
5
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/addr_width
6
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb_clk_i
7
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb_rst_i
8
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb1_cyc_i
9
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb1_stb_i
10
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb1_we_i
11
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb1_adr_i
12
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb1_dat_i
13
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb1_dat_o
14
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb1_ack_o
15
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb2_cyc_i
16
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb2_stb_i
17
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb2_we_i
18
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb2_adr_i
19
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb2_dat_i
20
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb2_dat_o
21
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb2_ack_o
22
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb3_cyc_i
23
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb3_stb_i
24
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb3_we_i
25
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb3_adr_i
26
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb3_dat_i
27
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb3_dat_o
28
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/wb3_ack_o
29
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/we
30
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/a
31
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/d
32
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut_wb_sram/q
33
add wave -noupdate -divider {CPU wishbone}
34
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/clk_i
35
add wave -noupdate -color {Medium Slate Blue} /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iphase1
36
add wave -noupdate -color {Medium Slate Blue} /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iphase2
37
add wave -noupdate -color Goldenrod -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/iaddress
38
add wave -noupdate -color Goldenrod -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/iinstruction
39
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbadr_o
40
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbdat_i
41
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbdat
42
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbdat_o
43
add wave -noupdate /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbwe_o
44
add wave -noupdate /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbsel_o
45
add wave -noupdate /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbstb_o
46
add wave -noupdate /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwback_i
47
add wave -noupdate /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbcyc
48
add wave -noupdate /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbwrsing
49
add wave -noupdate /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwbrdsing
50
add wave -noupdate -color {Cornflower Blue} /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwb_validhandshake
51
add wave -noupdate -color {Cornflower Blue} /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwb_validpc
52
add wave -noupdate -color {Cornflower Blue} /tb_copyblaze_ecosystem_wb_sram_3p/uut/processor/iwb_validoperand
53
add wave -noupdate -divider CPU
54
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/gen_width_data
55
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/gen_width_pc
56
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/gen_width_inst
57
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/gen_depth_stack
58
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/gen_depth_banc
59
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/gen_depth_scratch
60
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/gen_int_vector
61
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/clk_i
62
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/interrupt_i
63
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/interrupt_ack_o
64
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/in_port_i
65
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/out_port_o
66
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/port_id_o
67
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/read_strobe_o
68
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/write_strobe_o
69
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/freeze_i
70
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/adr_o
71
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/dat_i
72
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/dat_o
73
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/we_o
74
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/sel_o
75
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/stb_o
76
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/ack_i
77
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/cyc_o
78
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/iaddress
79
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/iinstruction
80
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/ireset
81
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram_3p/uut/ireset_counter
82
TreeUpdate [SetDefaultTree]
83
WaveRestoreCursors {{Cursor 1} {208077206 ps} 0}
84
configure wave -namecolwidth 408
85
configure wave -valuecolwidth 40
86
configure wave -justifyvalue left
87
configure wave -signalnamewidth 0
88
configure wave -snapdistance 10
89
configure wave -datasetprefix 0
90
configure wave -rowmargin 4
91
configure wave -childrowmargin 2
92
configure wave -gridoffset 0
93
configure wave -gridperiod 1
94
configure wave -griddelta 40
95
configure wave -timeline 0
96
configure wave -timelineunits ps
97
update
98
WaveRestoreZoom {199046875 ps} {215453125 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.