OpenCores
URL https://opencores.org/ocsvn/copyblaze/copyblaze/trunk

Subversion Repositories copyblaze

[/] [copyblaze/] [trunk/] [copyblaze/] [sim/] [rtl_sim/] [bin/] [tb_copyBlaze_ecoSystem_wb-sram_wave.do] - Blame information for rev 62

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 62 ameziti
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -divider {WB SRAM}
4
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/clk
5
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/reset
6
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/wb_adr_i
7
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/wb_dat_i
8
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/wb_dat_o
9
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/wb_cyc_i
10
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/wb_stb_i
11
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/wb_ack_o
12
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/wb_we_i
13
add wave -noupdate -radix hexadecimal -subitemconfig {/tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(0) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(1) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(2) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(3) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(4) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(5) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(6) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(7) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(8) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(9) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(10) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(11) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(12) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(13) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(14) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(15) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(16) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(17) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(18) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(19) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(20) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(21) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(22) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(23) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(24) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(25) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(26) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(27) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(28) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(29) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(30) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(31) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(32) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(33) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(34) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(35) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(36) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(37) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(38) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(39) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(40) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(41) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(42) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(43) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(44) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(45) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(46) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(47) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(48) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(49) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(50) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(51) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(52) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(53) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(54) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(55) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(56) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(57) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(58) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(59) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(60) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(61) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(62) {-height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray(63) {-height 15 -radix hexadecimal}} /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemarray
14
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemdatain
15
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemdataout
16
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemaddr
17
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut_wb_sram/imemwrite
18
add wave -noupdate -divider {REG BANK}
19
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/clk_i
20
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/rst_i_n
21
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/sxptr_i
22
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/syptr_i
23
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/write_i
24
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/sxdata_i
25
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/sxdata_o
26
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/sydata_o
27
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/u_bancregister/ibancregmem
28
add wave -noupdate -divider CPU
29
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/clk_i
30
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/rst_i_n
31
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/address_o
32
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/instruction_i
33
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/interrupt_i
34
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/interrupt_ack_o
35
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/in_port_i
36
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/out_port_o
37
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/port_id_o
38
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/read_strobe_o
39
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/write_strobe_o
40
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/freeze_i
41
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/adr_o
42
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/dat_i
43
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/dat_o
44
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/we_o
45
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/sel_o
46
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/stb_o
47
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ack_i
48
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/cyc_o
49
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iphase1
50
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iphase2
51
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iaaa
52
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ikk
53
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iss
54
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ipp
55
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iz
56
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ic
57
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/izi
58
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ici
59
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ialuresult
60
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/isxdatain
61
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/isxdata
62
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/isydata
63
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/isxptr
64
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/isyptr
65
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iscratchptr
66
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iscratchdataout
67
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ibancwriteop
68
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ibancwrite
69
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iscratchwrite
70
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ifetch
71
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iinput
72
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iouput
73
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ioperationselect
74
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ioperandselect
75
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iarithoper
76
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ilogicoper
77
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ishiftbit
78
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ishiftsens
79
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iflagswrite
80
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iflagspush
81
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iflagspop
82
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iconditionctrl
83
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ijump
84
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/icall
85
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ireturn
86
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ireturni
87
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ipcenable
88
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iievent
89
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iiewrite
90
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iievalue
91
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/ifreeze
92
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbadr_o
93
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbdat_i
94
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbdat
95
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbdat_o
96
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbwe_o
97
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbsel_o
98
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbstb_o
99
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwback_i
100
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbcyc
101
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbwrsing
102
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwbrdsing
103
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwb_validhandshake
104
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwb_validpc
105
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_sram/uut/processor/iwb_validoperand
106
TreeUpdate [SetDefaultTree]
107
WaveRestoreCursors {{Cursor 1} {215500000 ps} 0}
108
configure wave -namecolwidth 355
109
configure wave -valuecolwidth 40
110
configure wave -justifyvalue left
111
configure wave -signalnamewidth 0
112
configure wave -snapdistance 10
113
configure wave -datasetprefix 0
114
configure wave -rowmargin 4
115
configure wave -childrowmargin 2
116
configure wave -gridoffset 0
117
configure wave -gridperiod 1
118
configure wave -griddelta 40
119
configure wave -timeline 0
120
configure wave -timelineunits ps
121
update
122
WaveRestoreZoom {207252383 ps} {223747617 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.