OpenCores
URL https://opencores.org/ocsvn/copyblaze/copyblaze/trunk

Subversion Repositories copyblaze

[/] [copyblaze/] [trunk/] [copyblaze/] [sim/] [rtl_sim/] [bin/] [tb_copyBlaze_ecoSystem_wb-timer_wave.do] - Blame information for rev 64

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 ameziti
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -divider {WISHBONE timer}
4
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/clk
5
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/reset
6
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_adr_i
7
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_dat_i
8
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_dat_o
9
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_cyc_i
10
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_stb_i
11
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_ack_o
12
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_we_i
13
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_irq0_o
14
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/wb_irq1_o
15
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/wbactive
16
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/counter0
17
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/counter1
18
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/compare0
19
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/compare1
20
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/en0
21
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/en1
22
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/ar0
23
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/ar1
24
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/trig0
25
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/trig1
26
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/irq0en
27
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/wb_timer/irq1en
28
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/tcr0
29
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/wb_timer/tcr1
30
add wave -noupdate -divider COPYBLAZE
31
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/clk_i
32
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/rst_i_n
33
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/address_o
34
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/instruction_i
35
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/interrupt_i
36
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/interrupt_ack_o
37
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/in_port_i
38
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/out_port_o
39
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/port_id_o
40
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/read_strobe_o
41
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/write_strobe_o
42
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/freeze_i
43
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/adr_o
44
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/dat_i
45
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/dat_o
46
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/we_o
47
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/sel_o
48
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/stb_o
49
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ack_i
50
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/cyc_o
51
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iphase1
52
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iphase2
53
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iaaa
54
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/ikk
55
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iss
56
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/ipp
57
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iz
58
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ic
59
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/izi
60
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ici
61
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/ialuresult
62
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/isxdatain
63
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/isxdata
64
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/isydata
65
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/isxptr
66
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/isyptr
67
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iscratchptr
68
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iscratchdataout
69
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ibancwriteop
70
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ibancwrite
71
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iscratchwrite
72
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ifetch
73
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iinput
74
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iouput
75
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/ioperationselect
76
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ioperandselect
77
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iarithoper
78
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/ilogicoper
79
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/ishiftbit
80
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ishiftsens
81
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iflagswrite
82
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iflagspush
83
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iflagspop
84
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iconditionctrl
85
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ijump
86
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/icall
87
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ireturn
88
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ireturni
89
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ipcenable
90
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iievent
91
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iiewrite
92
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iievalue
93
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/ifreeze
94
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbadr_o
95
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbdat_i
96
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbdat
97
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbdat_o
98
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbwe_o
99
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbsel_o
100
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbstb_o
101
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwback_i
102
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbcyc
103
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbwrsing
104
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwbrdsing
105
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwb_validhandshake
106
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwb_validpc
107
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/iwb_validoperand
108 37 ameziti
add wave -noupdate -divider {BANC REGISTERS}
109
add wave -noupdate -color {Orange Red} -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/ipointer
110
add wave -noupdate -color Cyan -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/address_o
111
add wave -noupdate -color Cyan -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/instruction_i
112
add wave -noupdate -radix hexadecimal -expand -subitemconfig {/tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(0) {-color {Medium Orchid} -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(1) {-color {Medium Orchid} -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(2) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(3) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(4) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(5) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(6) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(7) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(8) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(9) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(10) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(11) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(12) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(13) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(14) {-color Goldenrod -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem(15) {-color Goldenrod -height 15 -radix hexadecimal}} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_bancregister/ibancregmem
113
add wave -noupdate -divider STACK
114
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/clk_i
115
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/rst_i_n
116
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/data_i
117
add wave -noupdate -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/data_o
118
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/enable_i
119
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/push_i
120
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/pop_i
121
add wave -noupdate -color {Orange Red} -radix hexadecimal -subitemconfig {/tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(0) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(1) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(2) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(3) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(4) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(5) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(6) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(7) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(8) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(9) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(10) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(11) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(12) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(13) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(14) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(15) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(16) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(17) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(18) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(19) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(20) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(21) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(22) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(23) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(24) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(25) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(26) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(27) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(28) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(29) {-color #ffff45450000 -height 15 -radix hexadecimal} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem(30) {-color #ffff45450000 -height 15 -radix hexadecimal}} /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istackmem
122
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/istacken
123
add wave -noupdate -color {Orange Red} -radix hexadecimal /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/ipointer
124
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/iptrup
125
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/iptrdown
126
add wave -noupdate /tb_copyblaze_ecosystem_wb_timer/uut/processor/u_programflowcontrol/u_stack/itempo
127 34 ameziti
TreeUpdate [SetDefaultTree]
128 37 ameziti
WaveRestoreCursors {{Cursor 1} {74306953 ps} 0}
129
configure wave -namecolwidth 503
130 34 ameziti
configure wave -valuecolwidth 100
131
configure wave -justifyvalue left
132
configure wave -signalnamewidth 0
133
configure wave -snapdistance 10
134
configure wave -datasetprefix 0
135
configure wave -rowmargin 4
136
configure wave -childrowmargin 2
137
configure wave -gridoffset 0
138
configure wave -gridperiod 1
139
configure wave -griddelta 40
140
configure wave -timeline 0
141
configure wave -timelineunits ps
142
update
143 37 ameziti
WaveRestoreZoom {63456889 ps} {93813222 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.