OpenCores
URL https://opencores.org/ocsvn/cordic_atan_iq/cordic_atan_iq/trunk

Subversion Repositories cordic_atan_iq

[/] [cordic_atan_iq/] [cordic_atan_test.m] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 Papayaved
clc
2
 
3
I = 20e6;
4
Q = 4e6;
5
 
6
[angle, isqrt] = cordic_atan_iq(20e6, 4e6);
7
a = atan(Q/I) * 180 / pi;
8
iq_sqrt = int32(sqrt(I^2 + Q^2));
9
delta = iq_sqrt - isqrt;
10
 
11
fprintf('\nAngle MatLab: %g, Cordic: %g; SQRT MatLab: %d, Cordic: %d, delta %d\n', a, angle, iq_sqrt, isqrt, delta);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.