OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [scripts/] [simulation.tcl] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 N.Boukadid
 
2
proc simulate { arg1 } {
3
        set scriptdir [pwd]
4
        set proj_dir $scriptdir/../
5
 
6
        #generate_target {Synthesis Simulation} [get_files $proj_dir/sources/ip_cores/clk_40MHz.xci -of_objects [get_filesets sources_1]]       
7
 
8
#generate_target {Synthesis Simulation} [get_files $proj_dir/sources/ip_cores/Transceiver_10g_64b67b.xci -of_objects [get_filesets sources_1]]  
9
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/decoder_tb.vhd
10
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/interlaken_interface_tb.vhd
11
 
12
        if {$arg1 eq {interface}} {
13
                close_sim -force -quiet
14
                update_compile_order -fileset sources_1
15
                set_property top testbench_interlaken_interface [get_filesets sim_1]
16
                set_property top_lib work [get_filesets sim_1]
17
                set_property top_arch tb_interlaken_interface [get_filesets sim_1]
18
                launch_xsim -simset sim_1 -mode behavioral
19
                puts "$arg1 it is, you've chosen wisely"
20
 
21
        } elseif {$arg1 eq {decoder}} {
22
                close_sim -force -quiet
23
                update_compile_order -fileset sources_1
24
                set_property top testbench_decoder [get_filesets sim_1]
25
                set_property top_lib work [get_filesets sim_1]
26
                set_property top_arch tb_decoder [get_filesets sim_1]
27
                launch_xsim -simset sim_1 -mode behavioral
28
 
29
        } elseif {$arg1 eq {-help} } {
30
                puts "Seems you need help\n"
31
                puts "Run the simulation by entering the command simulate followed by the part you would like to simulate. \n Syntax : simulate object \n Arguments : interface , decoder."
32
        } else {
33
                puts "No valid command"
34
        }
35
}
36
 
37
puts "\nRun the simulation by entering the command simulate followed by the part you would like to simulate. \n Syntax : simulate object \n Arguments : interface , decoder."

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.