OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [scripts/] [simulation.tcl] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 N.Boukadid
 
2
proc simulate { arg1 } {
3
        set scriptdir [pwd]
4
        set proj_dir $scriptdir/../
5
 
6 5 N.Boukadid
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/Core1990_Test_tb.vhd
7
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/crc-32_tb.vhd
8 4 N.Boukadid
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/decoder_tb.vhd
9 5 N.Boukadid
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/deframing_burst_tb.vhd
10
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/deframing_meta_tb.vhd
11
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/descrambler_tb.vhd
12
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/encoder_tb.vhd
13
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/framing_burst_tb.vhd
14
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/framing_meta_tb.vhd
15 4 N.Boukadid
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/interlaken_interface_tb.vhd
16 5 N.Boukadid
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/interlaken_receiver_tb.vhd
17
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/interlaken_transmitter_tb.vhd
18
        add_files -fileset sim_1 -norecurse $proj_dir/simulation/scrambler_tb.vhd
19 4 N.Boukadid
 
20 5 N.Boukadid
        close_sim -force -quiet
21
        update_compile_order -fileset sources_1
22
 
23
 
24
        if {$arg1 eq {core1990}} {
25
                set_property top testbench_Interface_Test [get_filesets sim_1]
26
                set_property top_lib work [get_filesets sim_1]
27
                set_property top_arch tb_interlaken_interface [get_filesets sim_1]
28
                launch_xsim -simset sim_1 -mode behavioral
29
                open_wave_config {/home/nayibb/Desktop/report/Code/Core1990/projects/core1990_interlaken/testbench_Interface_Test_behav.wcfg}
30
 
31
        } elseif {$arg1 eq {interface}} {
32
 
33 4 N.Boukadid
                set_property top testbench_interlaken_interface [get_filesets sim_1]
34
                set_property top_lib work [get_filesets sim_1]
35
                set_property top_arch tb_interlaken_interface [get_filesets sim_1]
36
                launch_xsim -simset sim_1 -mode behavioral
37
                puts "$arg1 it is, you've chosen wisely"
38
 
39
        } elseif {$arg1 eq {decoder}} {
40 5 N.Boukadid
 
41 4 N.Boukadid
                set_property top testbench_decoder [get_filesets sim_1]
42
                set_property top_lib work [get_filesets sim_1]
43
                set_property top_arch tb_decoder [get_filesets sim_1]
44
                launch_xsim -simset sim_1 -mode behavioral
45
 
46
        } elseif {$arg1 eq {-help} } {
47
                puts "Seems you need help\n"
48
                puts "Run the simulation by entering the command simulate followed by the part you would like to simulate. \n Syntax : simulate object \n Arguments : interface , decoder."
49
        } else {
50
                puts "No valid command"
51
        }
52
}
53
 
54
puts "\nRun the simulation by entering the command simulate followed by the part you would like to simulate. \n Syntax : simulate object \n Arguments : interface , decoder."

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.