OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [.Makefile.dep] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
# Automatically generated by "make dep"
2
tags/vhdl_arith_cnt_arith_cnt8: work tags  tags/vhdl_arith_cnt_arith_cnt_comp vhdl/arith/cnt/arith_cnt8.vhd
3
        $(VCOM) vhdl/arith/cnt/arith_cnt8.vhd
4
        @touch tags/vhdl_arith_cnt_arith_cnt8
5
tags/vhdl_arith_cnt_arith_cnt_comp: work tags  vhdl/arith/cnt/arith_cnt_comp.vhd
6
        $(VCOM) vhdl/arith/cnt/arith_cnt_comp.vhd
7
        @touch tags/vhdl_arith_cnt_arith_cnt_comp
8
tags/vhdl_arm_arm_comp: work tags  tags/vhdl_bus_amba tags/vhdl_core_libs_corelib tags/vhdl_bus_bus_comp tags/vhdl_mem_cache_cache_comp tags/vhdl_core_ctrl_irqctrl tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode vhdl/arm/arm_comp.vhd
9
        $(VCOM) vhdl/arm/arm_comp.vhd
10
        @touch tags/vhdl_arm_arm_comp
11
tags/vhdl_arm_arm_proc: work tags  tags/vhdl_bus_amba tags/vhdl_core_libs_corelib tags/vhdl_arm_armcache tags/vhdl_arm_armiu tags/vhdl_arm_arm_comp tags/vhdl_bus_bus_comp tags/vhdl_mem_cache_cache_comp vhdl/arm/arm_proc.vhd
12
        $(VCOM) vhdl/arm/arm_proc.vhd
13
        @touch tags/vhdl_arm_arm_proc
14
tags/vhdl_arm_armcache: work tags  tags/vhdl_bus_amba tags/vhdl_bus_ahbmst_mp tags/vhdl_bus_bus_comp tags/vhdl_core_libs_corelib tags/vhdl_mem_cache_gencmem tags/vhdl_mem_cache_genic tags/vhdl_mem_cache_genwb tags/vhdl_mem_cache_gendc tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_gencmem tags/vhdl_mem_cache_genic tags/vhdl_mem_cache_genwb tags/vhdl_mem_cache_gendc tags/vhdl_mem_cache_cache_comp tags/vhdl_bus_ahbmst_mp tags/vhdl_bus_bus_comp vhdl/arm/armcache.vhd
15
        $(VCOM) vhdl/arm/armcache.vhd
16
        @touch tags/vhdl_arm_armcache
17
tags/vhdl_arm_armcmd_al: work tags  tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_al.vhd
18
        $(VCOM) vhdl/arm/armcmd_al.vhd
19
        @touch tags/vhdl_arm_armcmd_al
20
tags/vhdl_arm_armcmd_bl: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armcmd tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_bl.vhd
21
        $(VCOM) vhdl/arm/armcmd_bl.vhd
22
        @touch tags/vhdl_arm_armcmd_bl
23
tags/vhdl_arm_armcmd_cl: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_cl.vhd
24
        $(VCOM) vhdl/arm/armcmd_cl.vhd
25
        @touch tags/vhdl_arm_armcmd_cl
26
tags/vhdl_arm_armcmd_comp: work tags  tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armpctrl tags/vhdl_mem_cache_libs_gendc_lib vhdl/arm/armcmd_comp.vhd
27
        $(VCOM) vhdl/arm/armcmd_comp.vhd
28
        @touch tags/vhdl_arm_armcmd_comp
29
tags/vhdl_arm_armcmd_cr: work tags  tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_cr.vhd
30
        $(VCOM) vhdl/arm/armcmd_cr.vhd
31
        @touch tags/vhdl_arm_armcmd_cr
32
tags/vhdl_arm_armcmd_cs: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_cs.vhd
33
        $(VCOM) vhdl/arm/armcmd_cs.vhd
34
        @touch tags/vhdl_arm_armcmd_cs
35
tags/vhdl_arm_armcmd_ld: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armcmd tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_ld.vhd
36
        $(VCOM) vhdl/arm/armcmd_ld.vhd
37
        @touch tags/vhdl_arm_armcmd_ld
38
tags/vhdl_arm_armcmd_lm: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armldst tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_lm.vhd
39
        $(VCOM) vhdl/arm/armcmd_lm.vhd
40
        @touch tags/vhdl_arm_armcmd_lm
41
tags/vhdl_arm_armcmd_sm: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armldst tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_sm.vhd
42
        $(VCOM) vhdl/arm/armcmd_sm.vhd
43
        @touch tags/vhdl_arm_armcmd_sm
44
tags/vhdl_arm_armcmd_sr: work tags  tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_sr.vhd
45
        $(VCOM) vhdl/arm/armcmd_sr.vhd
46
        @touch tags/vhdl_arm_armcmd_sr
47
tags/vhdl_arm_armcmd_st: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armcmd tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_st.vhd
48
        $(VCOM) vhdl/arm/armcmd_st.vhd
49
        @touch tags/vhdl_arm_armcmd_st
50
tags/vhdl_arm_armcmd_sw: work tags  tags/vhdl_libs_memdef tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_armcmd_comp vhdl/arm/armcmd_sw.vhd
51
        $(VCOM) vhdl/arm/armcmd_sw.vhd
52
        @touch tags/vhdl_arm_armcmd_sw
53
tags/vhdl_arm_armiu: work tags  tags/vhdl_core_libs_corelib tags/vhdl_config tags/vhdl_libs_memdef tags/vhdl_arm_armiu_imstg tags/vhdl_arm_armiu_festg tags/vhdl_arm_armiu_destg tags/vhdl_arm_armiu_drstg tags/vhdl_arm_armiu_rrstg tags/vhdl_arm_armiu_rsstg tags/vhdl_arm_armiu_exstg tags/vhdl_arm_armiu_dmstg tags/vhdl_arm_armiu_mestg tags/vhdl_arm_armiu_wrstg tags/vhdl_arm_arm_comp tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_cp_libs_armcoproc tags/vhdl_arm_cp_armcp_sctrl tags/vhdl_arm_cp_armcp_comp tags/vhdl_mem_cache_cache_comp vhdl/arm/armiu.vhd
54
        $(VCOM) vhdl/arm/armiu.vhd
55
        @touch tags/vhdl_arm_armiu
56
tags/vhdl_arm_armiu_destg: work tags  tags/vhdl_config tags/vhdl_libs_memdef tags/vhdl_libs_int tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp vhdl/arm/armiu_destg.vhd
57
        $(VCOM) vhdl/arm/armiu_destg.vhd
58
        @touch tags/vhdl_arm_armiu_destg
59
tags/vhdl_arm_armiu_dmstg: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_arm_comp vhdl/arm/armiu_dmstg.vhd
60
        $(VCOM) vhdl/arm/armiu_dmstg.vhd
61
        @touch tags/vhdl_arm_armiu_dmstg
62
tags/vhdl_arm_armiu_drstg: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdebug tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armcmd tags/vhdl_arm_libs_armldst tags/vhdl_arm_armcmd_al tags/vhdl_arm_armcmd_sr tags/vhdl_arm_armcmd_bl tags/vhdl_arm_armcmd_ld tags/vhdl_arm_armcmd_st tags/vhdl_arm_armcmd_lm tags/vhdl_arm_armcmd_sm tags/vhdl_arm_armcmd_sw tags/vhdl_arm_armcmd_cr tags/vhdl_arm_armcmd_cl tags/vhdl_arm_armcmd_cs tags/vhdl_arm_armcmd_comp tags/vhdl_arm_arm_comp vhdl/arm/armiu_drstg.vhd
63
        $(VCOM) vhdl/arm/armiu_drstg.vhd
64
        @touch tags/vhdl_arm_armiu_drstg
65
tags/vhdl_arm_armiu_exstg: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armdebug tags/vhdl_arm_arm_comp vhdl/arm/armiu_exstg.vhd
66
        $(VCOM) vhdl/arm/armiu_exstg.vhd
67
        @touch tags/vhdl_arm_armiu_exstg
68
tags/vhdl_arm_armiu_festg: work tags  tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp vhdl/arm/armiu_festg.vhd
69
        $(VCOM) vhdl/arm/armiu_festg.vhd
70
        @touch tags/vhdl_arm_armiu_festg
71
tags/vhdl_arm_armiu_imstg: work tags  tags/vhdl_libs_int tags/vhdl_arm_arm_comp vhdl/arm/armiu_imstg.vhd
72
        $(VCOM) vhdl/arm/armiu_imstg.vhd
73
        @touch tags/vhdl_arm_armiu_imstg
74
tags/vhdl_arm_armiu_mestg: work tags  tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp tags/vhdl_arm_libs_armpmodel tags/vhdl_core_ctrl_ctrl_comp vhdl/arm/armiu_mestg.vhd
75
        $(VCOM) vhdl/arm/armiu_mestg.vhd
76
        @touch tags/vhdl_arm_armiu_mestg
77
tags/vhdl_arm_armiu_rrstg: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_libs_int tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdebug tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_arm_comp vhdl/arm/armiu_rrstg.vhd
78
        $(VCOM) vhdl/arm/armiu_rrstg.vhd
79
        @touch tags/vhdl_arm_armiu_rrstg
80
tags/vhdl_arm_armiu_rsstg: work tags  tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_arm_arm_comp vhdl/arm/armiu_rsstg.vhd
81
        $(VCOM) vhdl/arm/armiu_rsstg.vhd
82
        @touch tags/vhdl_arm_armiu_rsstg
83
tags/vhdl_arm_armiu_wrstg: work tags  tags/vhdl_arm_arm_comp tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode vhdl/arm/armiu_wrstg.vhd
84
        $(VCOM) vhdl/arm/armiu_wrstg.vhd
85
        @touch tags/vhdl_arm_armiu_wrstg
86
tags/vhdl_arm_cp_armcp_comp: work tags  tags/vhdl_arm_cp_libs_armcoproc vhdl/arm/cp/armcp_comp.vhd
87
        $(VCOM) vhdl/arm/cp/armcp_comp.vhd
88
        @touch tags/vhdl_arm_cp_armcp_comp
89
tags/vhdl_arm_cp_armcp_sctrl: work tags  tags/vhdl_libs_int tags/vhdl_arm_cp_libs_armcoproc tags/vhdl_arm_cp_libs_armsctrl tags/vhdl_arm_cp_armcp_comp vhdl/arm/cp/armcp_sctrl.vhd
90
        $(VCOM) vhdl/arm/cp/armcp_sctrl.vhd
91
        @touch tags/vhdl_arm_cp_armcp_sctrl
92
tags/vhdl_arm_cp_libs_armcoproc: work tags  tags/vhdl_core_libs_corelib vhdl/arm/cp/libs/armcoproc.vhd
93
        $(VCOM) vhdl/arm/cp/libs/armcoproc.vhd
94
        @touch tags/vhdl_arm_cp_libs_armcoproc
95
tags/vhdl_arm_cp_libs_armsctrl: work tags  vhdl/arm/cp/libs/armsctrl.vhd
96
        $(VCOM) vhdl/arm/cp/libs/armsctrl.vhd
97
        @touch tags/vhdl_arm_cp_libs_armsctrl
98
tags/vhdl_arm_libs_armcmd: work tags  tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armdecode tags/vhdl_mem_cache_libs_gendc_lib vhdl/arm/libs/armcmd.vhd
99
        $(VCOM) vhdl/arm/libs/armcmd.vhd
100
        @touch tags/vhdl_arm_libs_armcmd
101
tags/vhdl_arm_libs_armcmd_iface: work tags  vhdl/arm/libs/armcmd_iface.vhd
102
        $(VCOM) vhdl/arm/libs/armcmd_iface.vhd
103
        @touch tags/vhdl_arm_libs_armcmd_iface
104
tags/vhdl_arm_libs_armctrl: work tags  tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode vhdl/arm/libs/armctrl.vhd
105
        $(VCOM) vhdl/arm/libs/armctrl.vhd
106
        @touch tags/vhdl_arm_libs_armctrl
107
tags/vhdl_arm_libs_armdebug: work tags  tags/vhdl_arm_libs_armdecode tags/vhdl_arm_libs_armpmodel vhdl/arm/libs/armdebug.vhd
108
        $(VCOM) vhdl/arm/libs/armdebug.vhd
109
        @touch tags/vhdl_arm_libs_armdebug
110
tags/vhdl_arm_libs_armdecode: work tags  tags/vhdl_config tags/vhdl_libs_memdef tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armshiefter vhdl/arm/libs/armdecode.vhd
111
        $(VCOM) vhdl/arm/libs/armdecode.vhd
112
        @touch tags/vhdl_arm_libs_armdecode
113
tags/vhdl_arm_libs_armiu_iface: work tags  vhdl/arm/libs/armiu_iface.vhd
114
        $(VCOM) vhdl/arm/libs/armiu_iface.vhd
115
        @touch tags/vhdl_arm_libs_armiu_iface
116
tags/vhdl_arm_libs_armldst: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_arm_libs_armpctrl tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armdecode tags/vhdl_mem_cache_libs_gendc_lib vhdl/arm/libs/armldst.vhd
117
        $(VCOM) vhdl/arm/libs/armldst.vhd
118
        @touch tags/vhdl_arm_libs_armldst
119
tags/vhdl_arm_libs_armpctrl: work tags  tags/vhdl_core_libs_corelib tags/vhdl_arm_libs_armpmodel tags/vhdl_arm_libs_armshiefter tags/vhdl_arm_libs_armdecode tags/vhdl_mem_cache_libs_gendc_lib vhdl/arm/libs/armpctrl.vhd
120
        $(VCOM) vhdl/arm/libs/armpctrl.vhd
121
        @touch tags/vhdl_arm_libs_armpctrl
122
tags/vhdl_arm_libs_armpmodel: work tags  vhdl/arm/libs/armpmodel.vhd
123
        $(VCOM) vhdl/arm/libs/armpmodel.vhd
124
        @touch tags/vhdl_arm_libs_armpmodel
125
tags/vhdl_arm_libs_armshiefter: work tags  vhdl/arm/libs/armshiefter.vhd
126
        $(VCOM) vhdl/arm/libs/armshiefter.vhd
127
        @touch tags/vhdl_arm_libs_armshiefter
128
tags/vhdl_arm_tbench_armcache: work tags  tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_core_libs_corelib tags/vhdl_arm_arm_comp tags/vhdl_arm_libs_armpctrl tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_libs_genic_lib vhdl/arm/tbench_armcache.vhd
129
        $(VCOM) vhdl/arm/tbench_armcache.vhd
130
        @touch tags/vhdl_arm_tbench_armcache
131
tags/vhdl_bus_ahbarb: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/bus/ahbarb.vhd
132
        $(VCOM) vhdl/bus/ahbarb.vhd
133
        @touch tags/vhdl_bus_ahbarb
134
tags/vhdl_bus_ahbmst_mp: work tags  tags/vhdl_bus_amba tags/vhdl_libs_memdef tags/vhdl_libs_int tags/vhdl_bus_bus_comp vhdl/bus/ahbmst_mp.vhd
135
        $(VCOM) vhdl/bus/ahbmst_mp.vhd
136
        @touch tags/vhdl_bus_ahbmst_mp
137
tags/vhdl_bus_amba: work tags  vhdl/bus/amba.vhd
138
        $(VCOM) vhdl/bus/amba.vhd
139
        @touch tags/vhdl_bus_amba
140
tags/vhdl_bus_apbmst: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/bus/apbmst.vhd
141
        $(VCOM) vhdl/bus/apbmst.vhd
142
        @touch tags/vhdl_bus_apbmst
143
tags/vhdl_bus_bus_comp: work tags  tags/vhdl_sparc_leon_target tags/vhdl_bus_amba tags/vhdl_libs_memdef vhdl/bus/bus_comp.vhd
144
        $(VCOM) vhdl/bus/bus_comp.vhd
145
        @touch tags/vhdl_bus_bus_comp
146
tags/vhdl_config: work tags  tags/vhdl_libs_memdef vhdl/config.vhd
147
        $(VCOM) vhdl/config.vhd
148
        @touch tags/vhdl_config
149
tags/vhdl_core_core: work tags  tags/vhdl_config tags/vhdl_core_libs_corelib tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_core_soc_gen tags/vhdl_core_core_comp tags/vhdl_core_core_config tags/vhdl_core_ctrl_rstgen tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_peri_mem_comp vhdl/core/core.vhd
150
        $(VCOM) vhdl/core/core.vhd
151
        @touch tags/vhdl_core_core
152
tags/vhdl_core_core_comp: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_core_core_config tags/vhdl_core_libs_corelib tags/vhdl_peripherals_mem_peri_mem_comp vhdl/core/core_comp.vhd
153
        $(VCOM) vhdl/core/core_comp.vhd
154
        @touch tags/vhdl_core_core_comp
155
tags/vhdl_core_core_config: work tags  vhdl/core/core_config.vhd
156
        $(VCOM) vhdl/core/core_config.vhd
157
        @touch tags/vhdl_core_core_config
158
tags/vhdl_core_ctrl_ctrl_comp: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/core/ctrl/ctrl_comp.vhd
159
        $(VCOM) vhdl/core/ctrl/ctrl_comp.vhd
160
        @touch tags/vhdl_core_ctrl_ctrl_comp
161
tags/vhdl_core_ctrl_ctrl_config: work tags  vhdl/core/ctrl/ctrl_config.vhd
162
        $(VCOM) vhdl/core/ctrl/ctrl_config.vhd
163
        @touch tags/vhdl_core_ctrl_ctrl_config
164
tags/vhdl_core_ctrl_irqctrl: work tags  tags/vhdl_libs_int tags/vhdl_sparc_leon_iface tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_bus_amba vhdl/core/ctrl/irqctrl.vhd
165
        $(VCOM) vhdl/core/ctrl/irqctrl.vhd
166
        @touch tags/vhdl_core_ctrl_irqctrl
167
tags/vhdl_core_ctrl_irqctrl2: work tags  tags/vhdl_core_ctrl_ctrl_config tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_bus_amba tags/vhdl_libs_log tags/vhdl_sparc_leon_config vhdl/core/ctrl/irqctrl2.vhd
168
        $(VCOM) vhdl/core/ctrl/irqctrl2.vhd
169
        @touch tags/vhdl_core_ctrl_irqctrl2
170
tags/vhdl_core_ctrl_rstgen: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/core/ctrl/rstgen.vhd
171
        $(VCOM) vhdl/core/ctrl/rstgen.vhd
172
        @touch tags/vhdl_core_ctrl_rstgen
173
tags/vhdl_core_ctrl_timers: work tags  tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_core_ctrl_ctrl_config tags/vhdl_peripherals_mem_peri_mem_config vhdl/core/ctrl/timers.vhd
174
        $(VCOM) vhdl/core/ctrl/timers.vhd
175
        @touch tags/vhdl_core_ctrl_timers
176
tags/vhdl_core_libs_corelib: work tags  vhdl/core/libs/corelib.vhd
177
        $(VCOM) vhdl/core/libs/corelib.vhd
178
        @touch tags/vhdl_core_libs_corelib
179
tags/vhdl_core_soc_gen: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_bus_amba tags/vhdl_core_ctrl_irqctrl tags/vhdl_core_ctrl_irqctrl2 tags/vhdl_core_ctrl_timers tags/vhdl_core_ctrl_ctrl_comp tags/vhdl_core_libs_corelib tags/vhdl_core_core_comp tags/vhdl_core_core_config tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_mctrl tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_arm_arm_proc tags/vhdl_arm_armiu tags/vhdl_arm_arm_comp tags/vhdl_bus_ahbarb tags/vhdl_bus_apbmst tags/vhdl_bus_bus_comp vhdl/core/soc_gen.vhd
180
        $(VCOM) vhdl/core/soc_gen.vhd
181
        @touch tags/vhdl_core_soc_gen
182
tags/vhdl_libs_int: work tags  vhdl/libs/int.vhd
183
        $(VCOM) vhdl/libs/int.vhd
184
        @touch tags/vhdl_libs_int
185
tags/vhdl_libs_log: work tags  vhdl/libs/log.vhd
186
        $(VCOM) vhdl/libs/log.vhd
187
        @touch tags/vhdl_libs_log
188
tags/vhdl_libs_memdef: work tags  tags/vhdl_bus_amba vhdl/libs/memdef.vhd
189
        $(VCOM) vhdl/libs/memdef.vhd
190
        @touch tags/vhdl_libs_memdef
191
tags/vhdl_mem_cache_cache_comp: work tags  tags/vhdl_bus_amba tags/vhdl_config tags/vhdl_mem_cache_cache_config tags/vhdl_core_libs_corelib tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_libs_genwb_lib tags/vhdl_bus_bus_comp vhdl/mem/cache/cache_comp.vhd
192
        $(VCOM) vhdl/mem/cache/cache_comp.vhd
193
        @touch tags/vhdl_mem_cache_cache_comp
194
tags/vhdl_mem_cache_cache_config: work tags  vhdl/mem/cache/cache_config.vhd
195
        $(VCOM) vhdl/mem/cache/cache_config.vhd
196
        @touch tags/vhdl_mem_cache_cache_config
197
tags/vhdl_mem_cache_gencmem: work tags  tags/vhdl_config tags/vhdl_mem_cache_cache_config tags/vhdl_tech_tech_map tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_cache_comp vhdl/mem/cache/gencmem.vhd
198
        $(VCOM) vhdl/mem/cache/gencmem.vhd
199
        @touch tags/vhdl_mem_cache_gencmem
200
tags/vhdl_mem_cache_gendc: work tags  tags/vhdl_config tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_core_libs_corelib tags/vhdl_mem_cache_setrepl tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_libs_gendc_lib tags/vhdl_mem_cache_libs_genwb_lib tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_mem_cache_libs_setrepl_lib tags/vhdl_arith_cnt_arith_cnt8 tags/vhdl_arith_cnt_arith_cnt_comp tags/vhdl_bus_bus_comp vhdl/mem/cache/gendc.vhd
201
        $(VCOM) vhdl/mem/cache/gendc.vhd
202
        @touch tags/vhdl_mem_cache_gendc
203
tags/vhdl_mem_cache_genic: work tags  tags/vhdl_config tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_core_libs_corelib tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_libs_genic_lib tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_bus_bus_comp vhdl/mem/cache/genic.vhd
204
        $(VCOM) vhdl/mem/cache/genic.vhd
205
        @touch tags/vhdl_mem_cache_genic
206
tags/vhdl_mem_cache_genwb: work tags  tags/vhdl_config tags/vhdl_libs_int tags/vhdl_libs_memdef tags/vhdl_bus_bus_comp tags/vhdl_mem_cache_genwbfifo tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_libs_genwb_lib vhdl/mem/cache/genwb.vhd
207
        $(VCOM) vhdl/mem/cache/genwb.vhd
208
        @touch tags/vhdl_mem_cache_genwb
209
tags/vhdl_mem_cache_genwbfifo: work tags  tags/vhdl_config tags/vhdl_libs_int tags/vhdl_mem_cache_libs_genwb_lib tags/vhdl_mem_cache_cache_comp tags/vhdl_mem_cache_cache_config tags/vhdl_tech_tech_map vhdl/mem/cache/genwbfifo.vhd
210
        $(VCOM) vhdl/mem/cache/genwbfifo.vhd
211
        @touch tags/vhdl_mem_cache_genwbfifo
212
tags/vhdl_mem_cache_kecs_wb: work tags  tags/vhdl_config vhdl/mem/cache/kecs_wb.vhd
213
        $(VCOM) vhdl/mem/cache/kecs_wb.vhd
214
        @touch tags/vhdl_mem_cache_kecs_wb
215
tags/vhdl_mem_cache_kecs_wbfifo: work tags  tags/vhdl_config tags/vhdl_tech_tech_map vhdl/mem/cache/kecs_wbfifo.vhd
216
        $(VCOM) vhdl/mem/cache/kecs_wbfifo.vhd
217
        @touch tags/vhdl_mem_cache_kecs_wbfifo
218
tags/vhdl_mem_cache_libs_gencmem_lib: work tags  tags/vhdl_libs_int tags/vhdl_config tags/vhdl_mem_cache_cache_config vhdl/mem/cache/libs/gencmem_lib.vhd
219
        $(VCOM) vhdl/mem/cache/libs/gencmem_lib.vhd
220
        @touch tags/vhdl_mem_cache_libs_gencmem_lib
221
tags/vhdl_mem_cache_libs_gendc_lib: work tags  tags/vhdl_config tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_libs_gencmem_lib tags/vhdl_libs_int tags/vhdl_libs_memdef vhdl/mem/cache/libs/gendc_lib.vhd
222
        $(VCOM) vhdl/mem/cache/libs/gendc_lib.vhd
223
        @touch tags/vhdl_mem_cache_libs_gendc_lib
224
tags/vhdl_mem_cache_libs_genic_lib: work tags  tags/vhdl_config tags/vhdl_mem_cache_cache_config tags/vhdl_libs_int tags/vhdl_mem_cache_libs_gencmem_lib vhdl/mem/cache/libs/genic_lib.vhd
225
        $(VCOM) vhdl/mem/cache/libs/genic_lib.vhd
226
        @touch tags/vhdl_mem_cache_libs_genic_lib
227
tags/vhdl_mem_cache_libs_genwb_lib: work tags  tags/vhdl_config tags/vhdl_libs_memdef tags/vhdl_mem_cache_cache_config vhdl/mem/cache/libs/genwb_lib.vhd
228
        $(VCOM) vhdl/mem/cache/libs/genwb_lib.vhd
229
        @touch tags/vhdl_mem_cache_libs_genwb_lib
230
tags/vhdl_mem_cache_libs_kecs_libicache: work tags  tags/vhdl_config tags/vhdl_mem_cache_cache_config vhdl/mem/cache/libs/kecs_libicache.vhd
231
        $(VCOM) vhdl/mem/cache/libs/kecs_libicache.vhd
232
        @touch tags/vhdl_mem_cache_libs_kecs_libicache
233
tags/vhdl_mem_cache_libs_setrepl_lib: work tags  tags/vhdl_mem_cache_cache_config vhdl/mem/cache/libs/setrepl_lib.vhd
234
        $(VCOM) vhdl/mem/cache/libs/setrepl_lib.vhd
235
        @touch tags/vhdl_mem_cache_libs_setrepl_lib
236
tags/vhdl_mem_cache_setrepl: work tags  tags/vhdl_libs_int tags/vhdl_mem_cache_cache_config tags/vhdl_mem_cache_cache_comp vhdl/mem/cache/setrepl.vhd
237
        $(VCOM) vhdl/mem/cache/setrepl.vhd
238
        @touch tags/vhdl_mem_cache_setrepl
239
tags/vhdl_peripherals_io_ioport: work tags  tags/vhdl_sparc_leon_config tags/vhdl_peripherals_serial_peri_serial_comp tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_bus_amba vhdl/peripherals/io/ioport.vhd
240
        $(VCOM) vhdl/peripherals/io/ioport.vhd
241
        @touch tags/vhdl_peripherals_io_ioport
242
tags/vhdl_peripherals_io_peri_io_comp: work tags  tags/vhdl_bus_amba tags/vhdl_peripherals_serial_peri_serial_comp vhdl/peripherals/io/peri_io_comp.vhd
243
        $(VCOM) vhdl/peripherals/io/peri_io_comp.vhd
244
        @touch tags/vhdl_peripherals_io_peri_io_comp
245
tags/vhdl_peripherals_mem_mctrl: work tags  tags/vhdl_peripherals_mem_peri_mem_config tags/vhdl_peripherals_mem_sdmctrl tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_tech_tech_map tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_peripherals_mem_sdmctrl vhdl/peripherals/mem/mctrl.vhd
246
        $(VCOM) vhdl/peripherals/mem/mctrl.vhd
247
        @touch tags/vhdl_peripherals_mem_mctrl
248
tags/vhdl_peripherals_mem_peri_mem_comp: work tags  tags/vhdl_bus_amba tags/vhdl_peripherals_io_peri_io_comp vhdl/peripherals/mem/peri_mem_comp.vhd
249
        $(VCOM) vhdl/peripherals/mem/peri_mem_comp.vhd
250
        @touch tags/vhdl_peripherals_mem_peri_mem_comp
251
tags/vhdl_peripherals_mem_peri_mem_config: work tags  vhdl/peripherals/mem/peri_mem_config.vhd
252
        $(VCOM) vhdl/peripherals/mem/peri_mem_config.vhd
253
        @touch tags/vhdl_peripherals_mem_peri_mem_config
254
tags/vhdl_peripherals_mem_sdmctrl: work tags  tags/vhdl_peripherals_mem_peri_mem_config tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_sparc_macro tags/vhdl_bus_amba vhdl/peripherals/mem/sdmctrl.vhd
255
        $(VCOM) vhdl/peripherals/mem/sdmctrl.vhd
256
        @touch tags/vhdl_peripherals_mem_sdmctrl
257
tags/vhdl_peripherals_mem_wprot: work tags  tags/vhdl_sparc_leon_config tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_bus_amba vhdl/peripherals/mem/wprot.vhd
258
        $(VCOM) vhdl/peripherals/mem/wprot.vhd
259
        @touch tags/vhdl_peripherals_mem_wprot
260
tags/vhdl_peripherals_net_eth_oc: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_ambacomp tags/vhdl_sparc_leon_iface vhdl/peripherals/net/eth_oc.vhd
261
        $(VCOM) vhdl/peripherals/net/eth_oc.vhd
262
        @touch tags/vhdl_peripherals_net_eth_oc
263
tags/vhdl_peripherals_serial_peri_serial_comp: work tags  tags/vhdl_bus_amba vhdl/peripherals/serial/peri_serial_comp.vhd
264
        $(VCOM) vhdl/peripherals/serial/peri_serial_comp.vhd
265
        @touch tags/vhdl_peripherals_serial_peri_serial_comp
266
tags/vhdl_peripherals_serial_uart: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_peripherals_serial_peri_serial_comp tags/vhdl_sparc_macro tags/vhdl_bus_amba vhdl/peripherals/serial/uart.vhd
267
        $(VCOM) vhdl/peripherals/serial/uart.vhd
268
        @touch tags/vhdl_peripherals_serial_uart
269
tags/vhdl_sparc_acache: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_macro vhdl/sparc/acache.vhd
270
        $(VCOM) vhdl/sparc/acache.vhd
271
        @touch tags/vhdl_sparc_acache
272
tags/vhdl_sparc_ahbmst: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro vhdl/sparc/ahbmst.vhd
273
        $(VCOM) vhdl/sparc/ahbmst.vhd
274
        @touch tags/vhdl_sparc_ahbmst
275
tags/vhdl_sparc_ahbram: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_tech_tech_map vhdl/sparc/ahbram.vhd
276
        $(VCOM) vhdl/sparc/ahbram.vhd
277
        @touch tags/vhdl_sparc_ahbram
278
tags/vhdl_sparc_ahbstat: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/sparc/ahbstat.vhd
279
        $(VCOM) vhdl/sparc/ahbstat.vhd
280
        @touch tags/vhdl_sparc_ahbstat
281
tags/vhdl_sparc_ahbtest: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface vhdl/sparc/ahbtest.vhd
282
        $(VCOM) vhdl/sparc/ahbtest.vhd
283
        @touch tags/vhdl_sparc_ahbtest
284
tags/vhdl_sparc_ambacomp: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_peri_mem_comp vhdl/sparc/ambacomp.vhd
285
        $(VCOM) vhdl/sparc/ambacomp.vhd
286
        @touch tags/vhdl_sparc_ambacomp
287
tags/vhdl_sparc_bprom: work tags  vhdl/sparc/bprom.vhd
288
        $(VCOM) vhdl/sparc/bprom.vhd
289
        @touch tags/vhdl_sparc_bprom
290
tags/vhdl_sparc_cache: work tags  tags/vhdl_sparc_leon_config tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface tags/vhdl_sparc_acache tags/vhdl_sparc_dcache tags/vhdl_sparc_icache vhdl/sparc/cache.vhd
291
        $(VCOM) vhdl/sparc/cache.vhd
292
        @touch tags/vhdl_sparc_cache
293
tags/vhdl_sparc_cachemem: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_tech_tech_map vhdl/sparc/cachemem.vhd
294
        $(VCOM) vhdl/sparc/cachemem.vhd
295
        @touch tags/vhdl_sparc_cachemem
296
tags/vhdl_sparc_dcache: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro vhdl/sparc/dcache.vhd
297
        $(VCOM) vhdl/sparc/dcache.vhd
298
        @touch tags/vhdl_sparc_dcache
299
tags/vhdl_sparc_dcom: work tags  tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_dcom_uart tags/vhdl_sparc_ambacomp tags/vhdl_sparc_leon_iface vhdl/sparc/dcom.vhd
300
        $(VCOM) vhdl/sparc/dcom.vhd
301
        @touch tags/vhdl_sparc_dcom
302
tags/vhdl_sparc_dcom_uart: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_bus_amba vhdl/sparc/dcom_uart.vhd
303
        $(VCOM) vhdl/sparc/dcom_uart.vhd
304
        @touch tags/vhdl_sparc_dcom_uart
305
tags/vhdl_sparc_debug: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface vhdl/sparc/debug.vhd
306
        $(VCOM) vhdl/sparc/debug.vhd
307
        @touch tags/vhdl_sparc_debug
308
tags/vhdl_sparc_div: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/sparc/div.vhd
309
        $(VCOM) vhdl/sparc/div.vhd
310
        @touch tags/vhdl_sparc_div
311
tags/vhdl_sparc_dma: work tags  tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_ambacomp tags/vhdl_sparc_leon_iface vhdl/sparc/dma.vhd
312
        $(VCOM) vhdl/sparc/dma.vhd
313
        @touch tags/vhdl_sparc_dma
314
tags/vhdl_sparc_dsu: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_tech_tech_map vhdl/sparc/dsu.vhd
315
        $(VCOM) vhdl/sparc/dsu.vhd
316
        @touch tags/vhdl_sparc_dsu
317
tags/vhdl_sparc_dsu_mem: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map vhdl/sparc/dsu_mem.vhd
318
        $(VCOM) vhdl/sparc/dsu_mem.vhd
319
        @touch tags/vhdl_sparc_dsu_mem
320
tags/vhdl_sparc_fp: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_fpulib tags/vhdl_tbench_debug vhdl/sparc/fp.vhd
321
 
322
tags/vhdl_sparc_fp1eu: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_sparcv8 tags/vhdl_tech_tech_map tags/vhdl_sparc_fpu_core tags/vhdl_sparc_fp tags/vhdl_sparc_fpulib tags/vhdl_tbench_debug vhdl/sparc/fp1eu.vhd
323
 
324
tags/vhdl_sparc_fpu_core: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_fpu_lth tags/vhdl_sparc_fpulib vhdl/sparc/fpu_core.vhd
325
 
326
tags/vhdl_sparc_fpu_lth: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_sparcv8 vhdl/sparc/fpu_lth.vhd
327
        $(VCOM) vhdl/sparc/fpu_lth.vhd
328
        @touch tags/vhdl_sparc_fpu_lth
329
tags/vhdl_sparc_fpulib: work tags  tags/vhdl_sparc_leon_iface vhdl/sparc/fpulib.vhd
330
        $(VCOM) vhdl/sparc/fpulib.vhd
331
        @touch tags/vhdl_sparc_fpulib
332
tags/vhdl_sparc_grfpc: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/sparc/grfpc.vhd
333
        $(VCOM) vhdl/sparc/grfpc.vhd
334
        @touch tags/vhdl_sparc_grfpc
335
tags/vhdl_sparc_icache: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_sparc_leon_target vhdl/sparc/icache.vhd
336
        $(VCOM) vhdl/sparc/icache.vhd
337
        @touch tags/vhdl_sparc_icache
338
tags/vhdl_sparc_iu: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_tech_tech_map tags/vhdl_sparc_multlib tags/vhdl_sparc_div tags/vhdl_sparc_mul vhdl/sparc/iu.vhd
339
        $(VCOM) vhdl/sparc/iu.vhd
340
        @touch tags/vhdl_sparc_iu
341
tags/vhdl_sparc_lconf: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba vhdl/sparc/lconf.vhd
342
        $(VCOM) vhdl/sparc/lconf.vhd
343
        @touch tags/vhdl_sparc_lconf
344
tags/vhdl_sparc_leon: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_tech_tech_map tags/vhdl_tbench_debug tags/vhdl_sparc_mcore vhdl/sparc/leon.vhd
345
        $(VCOM) vhdl/sparc/leon.vhd
346
        @touch tags/vhdl_sparc_leon
347
tags/vhdl_sparc_leon_config: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_device vhdl/sparc/leon_config.vhd
348
        $(VCOM) vhdl/sparc/leon_config.vhd
349
        @touch tags/vhdl_sparc_leon_config
350
tags/vhdl_sparc_leon_device: work tags  tags/vhdl_sparc_leon_target vhdl/sparc/leon_device.vhd
351
        $(VCOM) vhdl/sparc/leon_device.vhd
352
        @touch tags/vhdl_sparc_leon_device
353
tags/vhdl_sparc_leon_eth: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_tbench_debug tags/vhdl_sparc_mcore vhdl/sparc/leon_eth.vhd
354
        $(VCOM) vhdl/sparc/leon_eth.vhd
355
        @touch tags/vhdl_sparc_leon_eth
356
tags/vhdl_sparc_leon_eth_pci: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_tbench_debug tags/vhdl_sparc_mcore vhdl/sparc/leon_eth_pci.vhd
357
        $(VCOM) vhdl/sparc/leon_eth_pci.vhd
358
        @touch tags/vhdl_sparc_leon_eth_pci
359
tags/vhdl_sparc_leon_iface: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_sparcv8 vhdl/sparc/leon_iface.vhd
360
        $(VCOM) vhdl/sparc/leon_iface.vhd
361
        @touch tags/vhdl_sparc_leon_iface
362
tags/vhdl_sparc_leon_pci: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map tags/vhdl_tbench_debug tags/vhdl_sparc_mcore vhdl/sparc/leon_pci.vhd
363
        $(VCOM) vhdl/sparc/leon_pci.vhd
364
        @touch tags/vhdl_sparc_leon_pci
365
tags/vhdl_sparc_leon_target: work tags  vhdl/sparc/leon_target.vhd
366
        $(VCOM) vhdl/sparc/leon_target.vhd
367
        @touch tags/vhdl_sparc_leon_target
368
tags/vhdl_sparc_libs_sparcdecode: work tags  tags/vhdl_config vhdl/sparc/libs/sparcdecode.vhd
369
        $(VCOM) vhdl/sparc/libs/sparcdecode.vhd
370
        @touch tags/vhdl_sparc_libs_sparcdecode
371
tags/vhdl_sparc_macro: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/sparc/macro.vhd
372
        $(VCOM) vhdl/sparc/macro.vhd
373
        @touch tags/vhdl_sparc_macro
374
tags/vhdl_sparc_mcore: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_proc tags/vhdl_sparc_pci_arb tags/vhdl_bus_apbmst tags/vhdl_bus_ahbarb tags/vhdl_sparc_ahbstat tags/vhdl_sparc_lconf tags/vhdl_core_ctrl_irqctrl tags/vhdl_core_ctrl_irqctrl2 tags/vhdl_core_ctrl_timers tags/vhdl_sparc_pci tags/vhdl_sparc_dsu tags/vhdl_sparc_dcom tags/vhdl_sparc_ahbram tags/vhdl_peripherals_net_eth_oc tags/vhdl_sparc_ambacomp tags/vhdl_peripherals_io_ioport tags/vhdl_peripherals_io_peri_io_comp tags/vhdl_peripherals_mem_wprot tags/vhdl_peripherals_mem_mctrl tags/vhdl_peripherals_mem_peri_mem_comp tags/vhdl_peripherals_serial_uart tags/vhdl_peripherals_serial_peri_serial_comp tags/vhdl_tbench_debug tags/vhdl_core_ctrl_rstgen tags/vhdl_sparc_dsu_mem vhdl/sparc/mcore.vhd
375
        $(VCOM) vhdl/sparc/mcore.vhd
376
        @touch tags/vhdl_sparc_mcore
377
tags/vhdl_sparc_meiko: work tags  tags/vhdl_sparc_leon_iface vhdl/sparc/meiko.vhd
378
        $(VCOM) vhdl/sparc/meiko.vhd
379
        @touch tags/vhdl_sparc_meiko
380
tags/vhdl_sparc_mmu: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_target tags/vhdl_sparc_mmutlb tags/vhdl_sparc_mmutw vhdl/sparc/mmu.vhd
381
        $(VCOM) vhdl/sparc/mmu.vhd
382
        @touch tags/vhdl_sparc_mmu
383
tags/vhdl_sparc_mmu_acache: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_macro vhdl/sparc/mmu_acache.vhd
384
        $(VCOM) vhdl/sparc/mmu_acache.vhd
385
        @touch tags/vhdl_sparc_mmu_acache
386
tags/vhdl_sparc_mmu_cache: work tags  tags/vhdl_sparc_leon_config tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_mmu_acache tags/vhdl_sparc_mmu_dcache tags/vhdl_sparc_mmu_icache tags/vhdl_sparc_mmu vhdl/sparc/mmu_cache.vhd
387
        $(VCOM) vhdl/sparc/mmu_cache.vhd
388
        @touch tags/vhdl_sparc_mmu_cache
389
tags/vhdl_sparc_mmu_dcache: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_sparc_mmuconfig vhdl/sparc/mmu_dcache.vhd
390
        $(VCOM) vhdl/sparc/mmu_dcache.vhd
391
        @touch tags/vhdl_sparc_mmu_dcache
392
tags/vhdl_sparc_mmu_icache: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_sparcv8 tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro tags/vhdl_bus_amba tags/vhdl_sparc_leon_target tags/vhdl_sparc_mmuconfig vhdl/sparc/mmu_icache.vhd
393
        $(VCOM) vhdl/sparc/mmu_icache.vhd
394
        @touch tags/vhdl_sparc_mmu_icache
395
tags/vhdl_sparc_mmuconfig: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_device tags/vhdl_sparc_leon_config vhdl/sparc/mmuconfig.vhd
396
        $(VCOM) vhdl/sparc/mmuconfig.vhd
397
        @touch tags/vhdl_sparc_mmuconfig
398
tags/vhdl_sparc_mmulru: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_mmulrue vhdl/sparc/mmulru.vhd
399
        $(VCOM) vhdl/sparc/mmulru.vhd
400
        @touch tags/vhdl_sparc_mmulru
401
tags/vhdl_sparc_mmulrue: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_target vhdl/sparc/mmulrue.vhd
402
        $(VCOM) vhdl/sparc/mmulrue.vhd
403
        @touch tags/vhdl_sparc_mmulrue
404
tags/vhdl_sparc_mmutlb: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig tags/vhdl_tech_tech_map tags/vhdl_sparc_leon_config tags/vhdl_sparc_macro tags/vhdl_sparc_leon_target tags/vhdl_sparc_mmutlbcam tags/vhdl_sparc_mmulru vhdl/sparc/mmutlb.vhd
405
        $(VCOM) vhdl/sparc/mmutlb.vhd
406
        @touch tags/vhdl_sparc_mmutlb
407
tags/vhdl_sparc_mmutlbcam: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_macro vhdl/sparc/mmutlbcam.vhd
408
        $(VCOM) vhdl/sparc/mmutlbcam.vhd
409
        @touch tags/vhdl_sparc_mmutlbcam
410
tags/vhdl_sparc_mmutw: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_mmuconfig vhdl/sparc/mmutw.vhd
411
        $(VCOM) vhdl/sparc/mmutw.vhd
412
        @touch tags/vhdl_sparc_mmutw
413
tags/vhdl_sparc_mul: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_map vhdl/sparc/mul.vhd
414
        $(VCOM) vhdl/sparc/mul.vhd
415
        @touch tags/vhdl_sparc_mul
416
tags/vhdl_sparc_multlib: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/sparc/multlib.vhd
417
        $(VCOM) vhdl/sparc/multlib.vhd
418
        @touch tags/vhdl_sparc_multlib
419
tags/vhdl_sparc_pci: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_pci_is tags/vhdl_sparc_pci_oc tags/vhdl_sparc_pci_gr tags/vhdl_sparc_ambacomp vhdl/sparc/pci.vhd
420
        $(VCOM) vhdl/sparc/pci.vhd
421
        @touch tags/vhdl_sparc_pci
422
tags/vhdl_sparc_pci_arb: work tags  tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_config tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface vhdl/sparc/pci_arb.vhd
423
        $(VCOM) vhdl/sparc/pci_arb.vhd
424
        @touch tags/vhdl_sparc_pci_arb
425
tags/vhdl_sparc_pci_gr: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_ambacomp tags/vhdl_sparc_macro tags/vhdl_sparc_leon_iface vhdl/sparc/pci_gr.vhd
426
        $(VCOM) vhdl/sparc/pci_gr.vhd
427
        @touch tags/vhdl_sparc_pci_gr
428
tags/vhdl_sparc_pci_is: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface vhdl/sparc/pci_is.vhd
429
        $(VCOM) vhdl/sparc/pci_is.vhd
430
        @touch tags/vhdl_sparc_pci_is
431
tags/vhdl_sparc_pci_oc: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_ahbmst tags/vhdl_sparc_ambacomp tags/vhdl_sparc_leon_iface vhdl/sparc/pci_oc.vhd
432
        $(VCOM) vhdl/sparc/pci_oc.vhd
433
        @touch tags/vhdl_sparc_pci_oc
434
tags/vhdl_sparc_pci_test: work tags  tags/vhdl_bus_amba tags/vhdl_sparc_leon_iface vhdl/sparc/pci_test.vhd
435
        $(VCOM) vhdl/sparc/pci_test.vhd
436
        @touch tags/vhdl_sparc_pci_test
437
tags/vhdl_sparc_proc: work tags  tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_mmuconfig tags/vhdl_sparc_leon_iface tags/vhdl_bus_amba tags/vhdl_sparc_fpu_core tags/vhdl_sparc_fp1eu tags/vhdl_sparc_grfpc tags/vhdl_sparc_fpulib tags/vhdl_tech_tech_map tags/vhdl_sparc_iu tags/vhdl_sparc_cache tags/vhdl_sparc_mmu_cache tags/vhdl_sparc_cachemem vhdl/sparc/proc.vhd
438
        $(VCOM) vhdl/sparc/proc.vhd
439
        @touch tags/vhdl_sparc_proc
440
tags/vhdl_sparc_sparcv8: work tags  tags/vhdl_sparc_leon_config vhdl/sparc/sparcv8.vhd
441
        $(VCOM) vhdl/sparc/sparcv8.vhd
442
        @touch tags/vhdl_sparc_sparcv8
443
tags/vhdl_tbench_debug: work tags  vhdl/tbench/debug.vhd
444
        $(VCOM) vhdl/tbench/debug.vhd
445
        @touch tags/vhdl_tbench_debug
446
tags/vhdl_tbench_dep_tbgen: work tags  tags/vhdl_config tags/vhdl_tbench_debug tags/vhdl_tbench_mem_iram tags/vhdl_tbench_testmod tags/vhdl_tbench_mem_mt48lc16m16a2 vhdl/tbench/dep_tbgen.vhd
447
        $(VCOM) vhdl/tbench/dep_tbgen.vhd
448
        @touch tags/vhdl_tbench_dep_tbgen
449
tags/vhdl_tbench_mem_iram: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_macro vhdl/tbench/mem/iram.vhd
450
        $(VCOM) vhdl/tbench/mem/iram.vhd
451
        @touch tags/vhdl_tbench_mem_iram
452
tags/vhdl_tbench_mem_mt48lc16m16a2: work tags  tags/vhdl_sparc_macro vhdl/tbench/mem/mt48lc16m16a2.vhd
453
        $(VCOM) vhdl/tbench/mem/mt48lc16m16a2.vhd
454
        @touch tags/vhdl_tbench_mem_mt48lc16m16a2
455
tags/vhdl_tbench_mem_tbenchmem_comp: work tags  vhdl/tbench/mem/tbenchmem_comp.vhd
456
        $(VCOM) vhdl/tbench/mem/tbenchmem_comp.vhd
457
        @touch tags/vhdl_tbench_mem_tbenchmem_comp
458
tags/vhdl_tbench_tbench: work tags  tags/vhdl_tbench_tbench_config vhdl/tbench/tbench.vhd
459
        $(VCOM) vhdl/tbench/tbench.vhd
460
        @touch tags/vhdl_tbench_tbench
461
tags/vhdl_tbench_tbench_comp: work tags  vhdl/tbench/tbench_comp.vhd
462
        $(VCOM) vhdl/tbench/tbench_comp.vhd
463
        @touch tags/vhdl_tbench_tbench_comp
464
tags/vhdl_tbench_tbench_config: work tags  tags/vhdl_tbench_tbench_gen tags/vhdl_tbench_tbench_comp vhdl/tbench/tbench_config.vhd
465
        $(VCOM) vhdl/tbench/tbench_config.vhd
466
        @touch tags/vhdl_tbench_tbench_config
467
tags/vhdl_tbench_tbench_gen: work tags  tags/vhdl_sparc_leon_config tags/vhdl_tbench_debug tags/vhdl_core_core tags/vhdl_core_core_comp tags/vhdl_tbench_tbench_comp tags/vhdl_tbench_mem_mt48lc16m16a2 tags/vhdl_tbench_mem_iram tags/vhdl_tbench_mem_tbenchmem_comp vhdl/tbench/tbench_gen.vhd
468
        $(VCOM) vhdl/tbench/tbench_gen.vhd
469
        @touch tags/vhdl_tbench_tbench_gen
470
tags/vhdl_tbench_testmod: work tags  vhdl/tbench/testmod.vhd
471
        $(VCOM) vhdl/tbench/testmod.vhd
472
        @touch tags/vhdl_tbench_testmod
473
tags/vhdl_tech_tech_atc18: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface vhdl/tech/tech_atc18.vhd
474
        $(VCOM) vhdl/tech/tech_atc18.vhd
475
        @touch tags/vhdl_tech_tech_atc18
476
tags/vhdl_tech_tech_atc25: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface vhdl/tech/tech_atc25.vhd
477
        $(VCOM) vhdl/tech/tech_atc25.vhd
478
        @touch tags/vhdl_tech_tech_atc25
479
tags/vhdl_tech_tech_atc35: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface vhdl/tech/tech_atc35.vhd
480
        $(VCOM) vhdl/tech/tech_atc35.vhd
481
        @touch tags/vhdl_tech_tech_atc35
482
tags/vhdl_tech_tech_axcel: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/tech/tech_axcel.vhd
483
        $(VCOM) vhdl/tech/tech_axcel.vhd
484
        @touch tags/vhdl_tech_tech_axcel
485
tags/vhdl_tech_tech_fs90: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/tech/tech_fs90.vhd
486
        $(VCOM) vhdl/tech/tech_fs90.vhd
487
        @touch tags/vhdl_tech_tech_fs90
488
tags/vhdl_tech_tech_generic: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/tech/tech_generic.vhd
489
        $(VCOM) vhdl/tech/tech_generic.vhd
490
        @touch tags/vhdl_tech_tech_generic
491
tags/vhdl_tech_tech_map: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_bprom tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 tags/vhdl_tech_tech_tsmc25 tags/vhdl_tech_tech_proasic tags/vhdl_tech_tech_axcel tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 tags/vhdl_tech_tech_tsmc25 tags/vhdl_tech_tech_proasic tags/vhdl_tech_tech_axcel tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 tags/vhdl_tech_tech_tsmc25 tags/vhdl_tech_tech_proasic tags/vhdl_tech_tech_axcel tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_multlib tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc18 tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_atc35 tags/vhdl_tech_tech_fs90 tags/vhdl_tech_tech_umc18 tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_tsmc25 tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_atc25 tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_virtex tags/vhdl_tech_tech_virtex2 vhdl/tech/tech_map.vhd
492
        $(VCOM) vhdl/tech/tech_map.vhd
493
        @touch tags/vhdl_tech_tech_map
494
tags/vhdl_tech_tech_proasic: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_sparc_leon_iface vhdl/tech/tech_proasic.vhd
495
        $(VCOM) vhdl/tech/tech_proasic.vhd
496
        @touch tags/vhdl_tech_tech_proasic
497
tags/vhdl_tech_tech_tsmc25: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface vhdl/tech/tech_tsmc25.vhd
498
        $(VCOM) vhdl/tech/tech_tsmc25.vhd
499
        @touch tags/vhdl_tech_tech_tsmc25
500
tags/vhdl_tech_tech_umc18: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface vhdl/tech/tech_umc18.vhd
501
        $(VCOM) vhdl/tech/tech_umc18.vhd
502
        @touch tags/vhdl_tech_tech_umc18
503
tags/vhdl_tech_tech_virtex: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/tech/tech_virtex.vhd
504
        $(VCOM) vhdl/tech/tech_virtex.vhd
505
        @touch tags/vhdl_tech_tech_virtex
506
tags/vhdl_tech_tech_virtex2: work tags  tags/vhdl_sparc_leon_iface tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_tech_tech_generic tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_target tags/vhdl_sparc_leon_iface tags/vhdl_sparc_leon_config vhdl/tech/tech_virtex2.vhd
507
        $(VCOM) vhdl/tech/tech_virtex2.vhd
508
        @touch tags/vhdl_tech_tech_virtex2
509
acache: tags/vhdl_sparc_acache
510
ahbarb: tags/vhdl_bus_ahbarb
511
ahbmst: tags/vhdl_sparc_ahbmst
512
ahbmst_mp: tags/vhdl_bus_ahbmst_mp
513
ahbram: tags/vhdl_sparc_ahbram
514
ahbstat: tags/vhdl_sparc_ahbstat
515
ahbtest: tags/vhdl_sparc_ahbtest
516
amba: tags/vhdl_bus_amba
517
ambacomp: tags/vhdl_sparc_ambacomp
518
apbmst: tags/vhdl_bus_apbmst
519
arith_cnt8: tags/vhdl_arith_cnt_arith_cnt8
520
arith_cnt_comp: tags/vhdl_arith_cnt_arith_cnt_comp
521
arm_comp: tags/vhdl_arm_arm_comp
522
arm_proc: tags/vhdl_arm_arm_proc
523
armcache: tags/vhdl_arm_armcache
524
armcmd: tags/vhdl_arm_libs_armcmd
525
armcmd_al: tags/vhdl_arm_armcmd_al
526
armcmd_bl: tags/vhdl_arm_armcmd_bl
527
armcmd_cl: tags/vhdl_arm_armcmd_cl
528
armcmd_comp: tags/vhdl_arm_armcmd_comp
529
armcmd_cr: tags/vhdl_arm_armcmd_cr
530
armcmd_cs: tags/vhdl_arm_armcmd_cs
531
armcmd_iface: tags/vhdl_arm_libs_armcmd_iface
532
armcmd_ld: tags/vhdl_arm_armcmd_ld
533
armcmd_lm: tags/vhdl_arm_armcmd_lm
534
armcmd_sm: tags/vhdl_arm_armcmd_sm
535
armcmd_sr: tags/vhdl_arm_armcmd_sr
536
armcmd_st: tags/vhdl_arm_armcmd_st
537
armcmd_sw: tags/vhdl_arm_armcmd_sw
538
armcoproc: tags/vhdl_arm_cp_libs_armcoproc
539
armcp_comp: tags/vhdl_arm_cp_armcp_comp
540
armcp_sctrl: tags/vhdl_arm_cp_armcp_sctrl
541
armctrl: tags/vhdl_arm_libs_armctrl
542
armdebug: tags/vhdl_arm_libs_armdebug
543
armdecode: tags/vhdl_arm_libs_armdecode
544
armiu: tags/vhdl_arm_armiu
545
armiu_destg: tags/vhdl_arm_armiu_destg
546
armiu_dmstg: tags/vhdl_arm_armiu_dmstg
547
armiu_drstg: tags/vhdl_arm_armiu_drstg
548
armiu_exstg: tags/vhdl_arm_armiu_exstg
549
armiu_festg: tags/vhdl_arm_armiu_festg
550
armiu_iface: tags/vhdl_arm_libs_armiu_iface
551
armiu_imstg: tags/vhdl_arm_armiu_imstg
552
armiu_mestg: tags/vhdl_arm_armiu_mestg
553
armiu_rrstg: tags/vhdl_arm_armiu_rrstg
554
armiu_rsstg: tags/vhdl_arm_armiu_rsstg
555
armiu_wrstg: tags/vhdl_arm_armiu_wrstg
556
armldst: tags/vhdl_arm_libs_armldst
557
armpctrl: tags/vhdl_arm_libs_armpctrl
558
armpmodel: tags/vhdl_arm_libs_armpmodel
559
armsctrl: tags/vhdl_arm_cp_libs_armsctrl
560
armshiefter: tags/vhdl_arm_libs_armshiefter
561
bprom: tags/vhdl_sparc_bprom
562
bus_comp: tags/vhdl_bus_bus_comp
563
cache: tags/vhdl_sparc_cache
564
cache_comp: tags/vhdl_mem_cache_cache_comp
565
cache_config: tags/vhdl_mem_cache_cache_config
566
cachemem: tags/vhdl_sparc_cachemem
567
config: tags/vhdl_config
568
core: tags/vhdl_core_core
569
core_comp: tags/vhdl_core_core_comp
570
core_config: tags/vhdl_core_core_config
571
corelib: tags/vhdl_core_libs_corelib
572
ctrl_comp: tags/vhdl_core_ctrl_ctrl_comp
573
ctrl_config: tags/vhdl_core_ctrl_ctrl_config
574
dcache: tags/vhdl_sparc_dcache
575
dcom: tags/vhdl_sparc_dcom
576
dcom_uart: tags/vhdl_sparc_dcom_uart
577
debug: tags/vhdl_tbench_debug
578
dep_tbgen: tags/vhdl_tbench_dep_tbgen
579
div: tags/vhdl_sparc_div
580
dma: tags/vhdl_sparc_dma
581
dsu: tags/vhdl_sparc_dsu
582
dsu_mem: tags/vhdl_sparc_dsu_mem
583
eth_oc: tags/vhdl_peripherals_net_eth_oc
584
fp: tags/vhdl_sparc_fp
585
fp1eu: tags/vhdl_sparc_fp1eu
586
fpu_core: tags/vhdl_sparc_fpu_core
587
fpu_lth: tags/vhdl_sparc_fpu_lth
588
fpulib: tags/vhdl_sparc_fpulib
589
gencmem: tags/vhdl_mem_cache_gencmem
590
gencmem_lib: tags/vhdl_mem_cache_libs_gencmem_lib
591
gendc: tags/vhdl_mem_cache_gendc
592
gendc_lib: tags/vhdl_mem_cache_libs_gendc_lib
593
genic: tags/vhdl_mem_cache_genic
594
genic_lib: tags/vhdl_mem_cache_libs_genic_lib
595
genwb: tags/vhdl_mem_cache_genwb
596
genwb_lib: tags/vhdl_mem_cache_libs_genwb_lib
597
genwbfifo: tags/vhdl_mem_cache_genwbfifo
598
grfpc: tags/vhdl_sparc_grfpc
599
icache: tags/vhdl_sparc_icache
600
int: tags/vhdl_libs_int
601
ioport: tags/vhdl_peripherals_io_ioport
602
iram: tags/vhdl_tbench_mem_iram
603
irqctrl: tags/vhdl_core_ctrl_irqctrl
604
irqctrl2: tags/vhdl_core_ctrl_irqctrl2
605
iu: tags/vhdl_sparc_iu
606
kecs_libicache: tags/vhdl_mem_cache_libs_kecs_libicache
607
kecs_wb: tags/vhdl_mem_cache_kecs_wb
608
kecs_wbfifo: tags/vhdl_mem_cache_kecs_wbfifo
609
lconf: tags/vhdl_sparc_lconf
610
leon: tags/vhdl_sparc_leon
611
leon_config: tags/vhdl_sparc_leon_config
612
leon_device: tags/vhdl_sparc_leon_device
613
leon_eth: tags/vhdl_sparc_leon_eth
614
leon_eth_pci: tags/vhdl_sparc_leon_eth_pci
615
leon_iface: tags/vhdl_sparc_leon_iface
616
leon_pci: tags/vhdl_sparc_leon_pci
617
leon_target: tags/vhdl_sparc_leon_target
618
log: tags/vhdl_libs_log
619
macro: tags/vhdl_sparc_macro
620
mcore: tags/vhdl_sparc_mcore
621
mctrl: tags/vhdl_peripherals_mem_mctrl
622
meiko: tags/vhdl_sparc_meiko
623
memdef: tags/vhdl_libs_memdef
624
mmu: tags/vhdl_sparc_mmu
625
mmu_acache: tags/vhdl_sparc_mmu_acache
626
mmu_cache: tags/vhdl_sparc_mmu_cache
627
mmu_dcache: tags/vhdl_sparc_mmu_dcache
628
mmu_icache: tags/vhdl_sparc_mmu_icache
629
mmuconfig: tags/vhdl_sparc_mmuconfig
630
mmulru: tags/vhdl_sparc_mmulru
631
mmulrue: tags/vhdl_sparc_mmulrue
632
mmutlb: tags/vhdl_sparc_mmutlb
633
mmutlbcam: tags/vhdl_sparc_mmutlbcam
634
mmutw: tags/vhdl_sparc_mmutw
635
mt48lc16m16a2: tags/vhdl_tbench_mem_mt48lc16m16a2
636
mul: tags/vhdl_sparc_mul
637
multlib: tags/vhdl_sparc_multlib
638
pci: tags/vhdl_sparc_pci
639
pci_arb: tags/vhdl_sparc_pci_arb
640
pci_gr: tags/vhdl_sparc_pci_gr
641
pci_is: tags/vhdl_sparc_pci_is
642
pci_oc: tags/vhdl_sparc_pci_oc
643
pci_test: tags/vhdl_sparc_pci_test
644
peri_io_comp: tags/vhdl_peripherals_io_peri_io_comp
645
peri_mem_comp: tags/vhdl_peripherals_mem_peri_mem_comp
646
peri_mem_config: tags/vhdl_peripherals_mem_peri_mem_config
647
peri_serial_comp: tags/vhdl_peripherals_serial_peri_serial_comp
648
proc: tags/vhdl_sparc_proc
649
rstgen: tags/vhdl_core_ctrl_rstgen
650
sdmctrl: tags/vhdl_peripherals_mem_sdmctrl
651
setrepl: tags/vhdl_mem_cache_setrepl
652
setrepl_lib: tags/vhdl_mem_cache_libs_setrepl_lib
653
soc_gen: tags/vhdl_core_soc_gen
654
sparcdecode: tags/vhdl_sparc_libs_sparcdecode
655
sparcv8: tags/vhdl_sparc_sparcv8
656
tbench: tags/vhdl_tbench_tbench
657
tbench_armcache: tags/vhdl_arm_tbench_armcache
658
tbench_comp: tags/vhdl_tbench_tbench_comp
659
tbench_config: tags/vhdl_tbench_tbench_config
660
tbench_gen: tags/vhdl_tbench_tbench_gen
661
tbenchmem_comp: tags/vhdl_tbench_mem_tbenchmem_comp
662
tech_atc18: tags/vhdl_tech_tech_atc18
663
tech_atc25: tags/vhdl_tech_tech_atc25
664
tech_atc35: tags/vhdl_tech_tech_atc35
665
tech_axcel: tags/vhdl_tech_tech_axcel
666
tech_fs90: tags/vhdl_tech_tech_fs90
667
tech_generic: tags/vhdl_tech_tech_generic
668
tech_map: tags/vhdl_tech_tech_map
669
tech_proasic: tags/vhdl_tech_tech_proasic
670
tech_tsmc25: tags/vhdl_tech_tech_tsmc25
671
tech_umc18: tags/vhdl_tech_tech_umc18
672
tech_virtex: tags/vhdl_tech_tech_virtex
673
tech_virtex2: tags/vhdl_tech_tech_virtex2
674
testmod: tags/vhdl_tbench_testmod
675
timers: tags/vhdl_core_ctrl_timers
676
uart: tags/vhdl_peripherals_serial_uart
677
wprot: tags/vhdl_peripherals_mem_wprot
678
tags :
679
        @mkdir tags
680
work :
681
        $(if $(shell @ls work), ,$(VLIB) work)
682
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.