OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [build/] [config/] [Configs/] [xconfig.in] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
mainmenu_name "CORE Configuration"
2
 
3
source syn/config.in
4
source vhdl/core/config.in
5
 
6
source vhdl/bus/config.in
7
 
8
mainmenu_option next_comment
9
comment 'Peripherals        '
10
 
11
  source vhdl/peripherals/mem/config.in
12
  source vhdl/peripherals/serial/config.in
13
 
14
endmenu
15
 
16
source vhdl/tbench/config.in

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.