OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [arm/] [arm_proc.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
-- $(lic)
2
-- $(help_generic)
3
-- $(help_local)
4
 
5
library ieee;
6
use ieee.std_logic_1164.all;
7
use work.amba.all;
8
use work.corelib.all;
9
use work.arm_comp.all;
10
use work.bus_comp.all;
11
use work.cache_comp.all;
12
 
13
entity arm_proc is
14
  generic (
15
    TEST_CACHE : boolean := false
16
  );
17
  port (
18
    rst     : in  std_logic;
19
    clk     : in  std_logic;
20
    clkn   : in  std_logic;
21
    i      : in arm_proc_typ_in;
22
    o      : out arm_proc_typ_out;
23
    ahbi   : in  ahb_mst_in_type;
24
    ahbo   : out ahb_mst_out_type;
25
    apbi   : in  apb_slv_in_type;
26
    apbo   : out apb_slv_out_type
27
    );
28
end arm_proc;
29
 
30
architecture rtl of arm_proc is
31
 
32
  type arm_tmp_type is record
33
    arm_i : armiu_typ_in;
34
  end record;
35
  type arm_reg_type is record
36
    dummy      : std_logic;
37
  end record;
38
  type arm_dbg_type is record
39
     dummy : std_logic;
40
     -- pragma translate_off
41
     dbg : arm_tmp_type;
42
     -- pragma translate_on
43
  end record;
44
  signal r, c       : arm_reg_type;
45
  signal rdbg, cdbg : arm_dbg_type;
46
 
47
  signal arm_i : armiu_typ_in;
48
  signal arm_o : armiu_typ_out;
49
 
50
  signal ici : genic_type_in;
51
  signal ico : genic_type_out;
52
  signal dci : gendc_type_in;
53
  signal dco : gendc_type_out;
54
 
55
  signal hold : cli_hold;
56
 
57
begin
58
 
59
  hold.dhold <= dco.hold;
60
  hold.ihold <= ico.hold;
61
  hold.hold <= dco.hold or ico.hold;
62
 
63
  arm0: armiu port map (rst, clk, clkn, hold, ici, ico, dci, dco, arm_i, arm_o);
64
  arm_i.irqo <= i.irqo;
65
  o.irqi <= arm_o.irqi;
66
  --arm0: tbench_armcache-port map (rst, clk, clkn, hold, ici, ico, dci, dco, arm_i, arm_o);
67
 
68
  cache0: armcache port map ( rst, clk, hold, ici, ico, dci, dco, ahbi, ahbo, apbi, apbo );
69
 
70
end rtl;
71
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.