OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [arm/] [armcmd_cs.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
-- $(lic)
2
-- $(help_generic)
3
-- $(help_local)
4
 
5
library ieee;
6
use ieee.std_logic_1164.all;
7
use work.armpctrl.all;
8
use work.armpmodel.all;
9
use work.armcmd.all;
10
use work.armcmd_comp.all;
11
 
12
entity armcmd_cs is
13
  port (
14
    rst     : in  std_logic;
15
    clk     : in  std_logic;
16
    i       : in  armcmd_cs_typ_in;
17
    o       : out armcmd_cs_typ_out
18
    );
19
end armcmd_cs;
20
 
21
architecture rtl of armcmd_cs is
22
 
23
  type armcmd_cs_tmp_type is record
24
    o       : armcmd_cs_typ_out;
25
  end record;
26
  type armcmd_cs_reg_type is record
27
    dummy      : std_logic;
28
  end record;
29
  type armcmd_cs_dbg_type is record
30
     dummy : std_logic;
31
     -- pragma translate_off
32
     dbg : armcmd_cs_tmp_type;
33
     -- pragma translate_on
34
  end record;
35
  signal r, c       : armcmd_cs_reg_type;
36
  signal rdbg, cdbg : armcmd_cs_dbg_type;
37
 
38
begin
39
 
40
  p0: process (clk, rst, r, i  )
41
    variable v    : armcmd_cs_reg_type;
42
    variable t    : armcmd_cs_tmp_type;
43
    variable vdbg : armcmd_cs_dbg_type;
44
  begin
45
 
46
    -- $(init(t:armcmd_cs_tmp_type))
47
 
48
    v := r;
49
 
50
    -- reset
51
    if ( rst = '0' ) then
52
    end if;
53
 
54
    c <= v;
55
 
56
    o <= t.o;
57
 
58
    -- pragma translate_off
59
    vdbg := rdbg;
60
    vdbg.dbg := t;
61
    cdbg <= vdbg;
62
    -- pragma translate_on  
63
 
64
  end process p0;
65
 
66
  pregs : process (clk, c)
67
  begin
68
    if rising_edge(clk) then
69
      r <= c;
70
      -- pragma translate_off
71
      rdbg <= cdbg;
72
      -- pragma translate_on
73
    end if;
74
  end process;
75
 
76
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.