OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [arm/] [armcmd_ld.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
-- $(lic)
2
-- $(help_generic)
3
-- $(help_local)
4
 
5
library ieee;
6
use ieee.std_logic_1164.all;
7
use work.armpctrl.all;
8
use work.armpmodel.all;
9
use work.armdecode.all;
10
use work.armshiefter.all;
11
use work.armcmd.all;
12
use work.gendc_lib.all;
13
use work.armcmd_comp.all;
14
 
15
entity armcmd_ld is
16
  port (
17
    rst     : in  std_logic;
18
    clk     : in  std_logic;
19
    i       : in  armcmd_ld_typ_in;
20
    o       : out armcmd_ld_typ_out
21
    );
22
end armcmd_ld;
23
 
24
architecture rtl of armcmd_ld is
25
 
26
  type armcmd_ld_tmp_type is record
27
    o       : armcmd_ld_typ_out;
28
    ctrlmemo : acm_ctrlmemout;
29
    off12 : std_logic_vector(31 downto 0);
30
    off8 : std_logic_vector(31 downto 0);
31
    am : ade_LDSTAMxLSV4AM;
32
  end record;
33
  type armcmd_ld_reg_type is record
34
    dummy      : std_logic;
35
  end record;
36
  type armcmd_ld_dbg_type is record
37
     dummy : std_logic;
38
     -- pragma translate_off
39
     dbg : armcmd_ld_tmp_type;
40
     -- pragma translate_on
41
  end record;
42
  signal r, c       : armcmd_ld_reg_type;
43
  signal rdbg, cdbg : armcmd_ld_dbg_type;
44
 
45
begin
46
 
47
  p0: process (clk, rst, r, i  )
48
    variable v    : armcmd_ld_reg_type;
49
    variable t    : armcmd_ld_tmp_type;
50
    variable vdbg : armcmd_ld_dbg_type;
51
  begin
52
 
53
    -- $(init(t:armcmd_ld_tmp_type))
54
 
55
    v := r;
56
    t.o.ctrlo    := i.ctrli.ctrlo;
57
    t.ctrlmemo := i.ctrlmemo;
58
 
59
    t.off8 := (others => '0');
60
    t.off12 := (others => '0');
61
    t.off8(7 downto 0) := i.ctrli.insn.insn(ADE_LSV4AM_OFF8_HU downto ADE_LSV4AM_OFF8_HD) & i.ctrli.insn.insn(ADE_LSV4AM_OFF8_LU downto ADE_LSV4AM_OFF8_LD);
62
    t.off12(11 downto 0) := i.ctrli.insn.insn(ADE_LDSTAM_OFF_U downto ADE_LDSTAM_OFF_D); -- LDSTAM <offset12>
63
 
64
    -- todo:
65
    -- load user access memory access :am.LDSTAMxLSV4AM_uacc
66
 
67
    -- switch ldr v1/v4
68
    t.am := i.ctrli.insn.am.LDSTAM_typ;
69
    t.ctrlmemo.data2 := t.off12; -- <offset12> 
70
    t.ctrlmemo := i.ctrlmemo;
71
    t.o.rsop_styp := ash_styp_none;
72
    t.o.rsop_sdir := i.ctrli.insn.am.DAPRAMxLDSTAM_sdir;
73
    case i.ctrli.insn.decinsn is
74
      when type_arm_ldr1 =>
75
        t.am := i.ctrli.insn.am.LDSTAM_typ;
76
        case t.am is
77
          when ade_LDSTAMxLSV4AM_reg => t.o.rsop_styp := ash_styp_simm;
78
          when others => null;
79
        end case;
80
        t.ctrlmemo.data2 := t.off12; -- <offset12>
81
      when type_arm_ldrhb =>
82
        t.am := i.ctrli.insn.am.LSV4AM_typ;
83
        t.ctrlmemo.data2 := t.off8; -- <offset12> 
84
      when others => null;
85
    end case;
86
 
87
    -- addressing modes
88
    case i.ctrli.cnt is
89
      when ACM_CNT_ZERO =>
90
 
91
        t.ctrlmemo.meop_param.read  := '1';   -- MESTG: dcache inputs (readdata)
92
        t.ctrlmemo.meop_param.addrin  := '1'; -- MESTG: dcache inputs (tag cmp)
93
        t.ctrlmemo.meop_enable := '1';        -- MESTG: dcache inputs
94
 
95
        case t.am is
96
          when ade_LDSTAMxLSV4AM_reg =>
97
            -- L/S W/UB: Register Offset                     : [<rn>, +/-<rm>]
98
            -- L/S W/UB: Register Offset pre-indexed         : [<rn>, +/-<rm>]!
99
            -- L/S W/UB: Register Offset post-indexed        : [<rn>], +/-<rm>
100
            -- L/S W/UB: Scaled Register Offset              : [<rn>, +/-<rm>, <LSAMscale>]
101
            -- L/S W/UB: Scaled Register Offset pre-indexed  : [<rn>, +/-<rm>, <LSAMscale>]!
102
            -- L/S W/UB: Scaled Register Offset post-indexed : [<rn>], +/-<rm>, <LSAMscale>
103
            -- <LSAMscale>: {LSL #<imm>}|{LSR #<imm>}|{ASR #<imm>}|{ROR #<imm>}|{RRX}
104
            -- am.LSV4AM_typ:
105
            -- - L/S MISC: Register offset            : [<rn>, #+/-<rm>]
106
            -- - L/S MISC: Register offset pre-index  : [<rn>, #+/-<rm>] !
107
            -- - L/S MISC: Register offset post-index : [<rn>], #+/-<rm>
108
 
109
            -- [ctrli.cnt = 0:] address calculation (rn+/-rm <LSAMscale>)
110
            --
111
            --             RRSTG      RSSTG       EXSTG       DMSTG       MESTG       WRSTG
112
            --      --+-----------+-----------+-----------+-----------+-----------+----------+
113
            -- <rn> ->+-----------+----------op1          |           |           |
114
            --        |           |           | \         |           |           |
115
            --        |  (regread)| <imm>+    | +(aluop)  |  +(trans) | +>(dcache)+-+>(write)
116
            --        |           |      V    | /   |     |  |   |    | |         | |
117
            -- <rm> ->+-----------+-(shift)--op2    |     |  |   |    | |         | |
118
            --      --+-----------+-----------+-----+-----+--+---+----+-+---------+-+--------+
119
            --                                      |        |   |      |           |
120
            --          pctrl.data1 (as address) :  +--------+   +------+           |
121
            --          pctrl.me.param:   o-----------------------------+           |                
122
            --          pctrl.wr.rd(<rd>):o-----------------------------------------+                           
123
 
124
            t.ctrlmemo.r1_src  := acm_rrn; -- fetch <rn> (addrbase)
125
            t.ctrlmemo.r2_src  := acm_rrm; -- fetch <rm> (roff)
126
            t.ctrlmemo.rd_src  := acm_rdrrd;
127
            t.ctrlmemo.rsop_op1_src := apc_opsrc_through; -- route <rn> to EXSTG op1
128
            t.ctrlmemo.rsop_op2_src := apc_opsrc_through; -- route <rm> to EXSTG op2
129
 
130
          when ade_LDSTAMxLSV4AM_imm =>
131
            -- L/S W/UB: Immediate Offset              : [<rn>, #+/-<offset12>]
132
            -- L/S W/UB: Immediate Offset pre-indexed  : [<rn>, #+/-<offset12>]!
133
            -- L/S W/UB: Immediate Offset post-indexed : [<rn>], #+/-<offset12>
134
            -- ade_atyp_LSV4AM.adm_LSV4AM_imm:
135
            -- - L/S MISC: Immediate offset            : [<rn>, #+/-<off>]
136
            -- - L/S MISC: Immediate offset pre-index  : [<rn>, #+/-<off>] !
137
            -- - L/S MISC: Immediate offset post-index : [<rn>], #+/-<off>
138
 
139
            -- [ctrli.cnt = 0:] address calculation (rn+/-off)
140
            --
141
            --            RRSTG       RSSTG       EXSTG       DMSTG       MESTG       WRSTG
142
            --      --+-----------+-----------+-----------+-----------+-----------+----------+
143
            -- <rn> ->+-----------+----------op1          |           |           |
144
            --        |           |           | \         |           |           |
145
            --        |  (regread)| (noshift) | +(aluop)  | +(trans)  | +>(dcache)+-+>(write)
146
            --        |           |           | /   |     | |   |     | |         | |
147
            --        |           |<offset12>op2    |     | |   |     | |         | |
148
            --      --+-----------+-----------+-----+-----+-+---+-----+-+---------+-+--------+
149
            --                                      |       |   |       |           |
150
            --        pctrl.data1 (as address)  :   +-------+   +-------+           |
151
            --         pctrl.me.param:   o------------------------------+           |                
152
            --         pctrl.wr.rd(<rd>):o------------------------------------------+                           
153
 
154
            t.ctrlmemo.r1_src  := acm_rrn; -- fetch <rn> (addrbase)
155
            t.ctrlmemo.rd_src  := acm_rdrrd;
156
            t.ctrlmemo.data2 := t.off12; -- <offset12> 
157
            t.ctrlmemo.rsop_op1_src := apc_opsrc_through; -- route <rn> to exestg op1
158
            t.ctrlmemo.rsop_op2_src := apc_opsrc_none;     -- route <offset12> to exestg op2
159
          when others => null;
160
        end case;
161
 
162
        if i.ctrli.insn.am.LDSTAMxLSV4AM_wb = '1' then
163
          t.o.ctrlo.nextinsn := '0';
164
        end if;
165
 
166
      when others  =>
167
        -- [ctrli.cnt = 1:] update baseregister
168
        --  
169
        --            RRSTG       RSSTG       EXSTG       DMSTG       MESTG        WRSTG
170
        --      --+-----------+-----------+-----------+-----------+-----------+-----------
171
        --        |           | (lastalu)-+           |           |           |
172
        --        |           |           | \         |           |           |
173
        --        |           |           | +(aluop)  |           |  (dcache) | +>(write)
174
        --        |           |           | /   |     |           |           | |
175
        --        |           |      (0) -+     |     |           |           | |
176
        --      --+-----------+-----------+-----+-----+-----------+-----------+-+---------
177
        --                                      |                               |
178
        --        pctrl.data1 (as rddata):      +-------------------------------+
179
        --         pctrl.wr.rd (<rn>): o----------------------------------------+                           
180
 
181
 
182
        t.ctrlmemo.rsop_op1_src := apc_opsrc_alures; -- route alulast to exestg op1
183
        t.ctrlmemo.data2 := (others => '0');                       -- imm 0
184
        t.ctrlmemo.rsop_op2_src := apc_opsrc_none;     -- route 0 to exestg op2
185
        t.ctrlmemo.exop_data_src := apc_datasrc_aluout; -- save aluresult as WRSTG_data
186
        t.ctrlmemo.rd_src := acm_rdrrn;                                      -- <rn>
187
 
188
    end case;
189
 
190
    t.o.ctrlmemo := t.ctrlmemo;
191
 
192
    -- reset
193
    if ( rst = '0' ) then
194
    end if;
195
 
196
    c <= v;
197
 
198
    o <= t.o;
199
 
200
    -- pragma translate_off
201
    vdbg := rdbg;
202
    vdbg.dbg := t;
203
    cdbg <= vdbg;
204
    -- pragma translate_on  
205
 
206
  end process p0;
207
 
208
  pregs : process (clk, c)
209
  begin
210
    if rising_edge(clk) then
211
      r <= c;
212
      -- pragma translate_off
213
      rdbg <= cdbg;
214
      -- pragma translate_on
215
    end if;
216
  end process;
217
 
218
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.