OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [arm/] [cp/] [armcp_comp.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
-- $(lic)
2
-- $(help_generic)
3
-- $(help_local)
4
 
5
library IEEE;
6
use IEEE.std_logic_1164.all;
7
use work.armcoproc.all;
8
 
9
package armcp_comp is
10
 
11
component armcp_sctrl
12
  port (
13
    rst     : in  std_logic;
14
    clk     : in  std_logic;
15
    i       : in  aco_in;
16
    o       : out aco_out
17
    );
18
end component;
19
 
20
end armcp_comp;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.