OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [arm/] [cp/] [libs/] [armsctrl.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
-- PREFIX: acpsc_xxx
5
package armsctrl is
6
 
7
constant ACPSC_R0_OP0 : std_logic_vector(31 downto 0) := (others => '0');  -- id
8
constant ACPSC_R0_OP1 : std_logic_vector(31 downto 0) := (others => '0');  -- cache
9
 
10
type acpsc_r1 is record
11
  mmu    : std_logic;
12
end record;
13
function acpsc_r1tostd (
14
  r1 : acpsc_r1
15
) return std_logic_vector;
16
procedure acpsc_stdtor1 (
17
  data : in std_logic_vector(31 downto 0);
18
  r1   : inout acpsc_r1
19
);
20
 
21
type acpsc_regs is record
22
  r1 : acpsc_r1;
23
  r2 : std_logic_vector(31 downto 0);   -- mmu_base
24
end record;
25
 
26
 
27
end armsctrl;
28
 
29
package body armsctrl is
30
 
31
constant ACPS_R1_M_C : integer := 0;
32
-- sysctrl register 1
33
function acpsc_r1tostd (
34
  r1 : acpsc_r1
35
) return std_logic_vector is
36
  variable tmp : std_logic_vector(31 downto 0);
37
begin
38
  tmp := (others => '0');
39
  tmp(ACPS_R1_M_C) := r1.mmu;
40
  return tmp;
41
end;
42
 
43
procedure acpsc_stdtor1 (
44
  data : in std_logic_vector(31 downto 0);
45
  r1   : inout acpsc_r1
46
) is
47
begin
48
  r1.mmu := data(ACPS_R1_M_C);
49
end;
50
 
51
 
52
end armsctrl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.