OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [config.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
library ieee;
2
use ieee.std_logic_1164.all;
3
use work.memdef.all;
4
 
5
-- $(trans-do-not-touch)
6
-- PREFIX: cfg_xxx
7
package config is
8
 
9
 
10
-- byte order
11
constant CFG_BO_BUS  : lmd_byteorder  := lmd_big;
12
constant CFG_BO_PROC : lmd_byteorder  := lmd_big;
13
constant CFG_BO_INSN : lmd_byteorder  := lmd_little;
14
 
15
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.