OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [peripherals/] [serial/] [peri_serial_comp.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use work.amba.all;
4
 
5
package peri_serial_comp is
6
 
7
type uart_in_type is record
8
  rxd           : std_logic;
9
  ctsn          : std_logic;
10
  scaler        : std_logic_vector(7 downto 0);
11
end record;
12
 
13
type uart_out_type is record
14
  rxen          : std_logic;
15
  txen          : std_logic;
16
  flow          : std_logic;
17
  irq           : std_logic;
18
  rtsn          : std_logic;
19
  txd           : std_logic;
20
end record;
21
 
22
component uart
23
  port (
24
    rst    : in  std_logic;
25
    clk    : in  std_logic;
26
    apbi   : in  apb_slv_in_type;
27
    apbo   : out apb_slv_out_type;
28
    uarti  : in  uart_in_type;
29
    uarto  : out uart_out_type
30
  );
31
end component;
32
 
33
end peri_serial_comp;

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.