OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [sparc/] [fpu_core.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
 
2
 
3
 
4
 
5
----------------------------------------------------------------------------
6
--  This file is a part of the LEON VHDL model
7
--  Copyright (C) 1999  European Space Agency (ESA)
8
--
9
--  This library is free software; you can redistribute it and/or
10
--  modify it under the terms of the GNU Lesser General Public
11
--  License as published by the Free Software Foundation; either
12
--  version 2 of the License, or (at your option) any later version.
13
--
14
--  See the file COPYING.LGPL for the full details of the license.
15
 
16
 
17
-----------------------------------------------------------------------------
18
-- Entity:      fpu_core
19
-- File:        fpu_core.vhd
20
-- Author:      Jiri Gaisler - Gaisler Research
21
-- Description: Wrapper around Meiko compatible FPU cores
22
------------------------------------------------------------------------------
23
library IEEE;
24
use IEEE.std_logic_1164.all;
25
 
26
use work.leon_target.all;
27
use work.leon_config.all;
28
use work.leon_iface.all;
29
use work.fpulib.all;
30
 
31
entity fpu_core is
32
port (
33
    clk    : in  clk_type;                      -- main clock   
34
    fpui   : in  fpu_in_type;
35
    fpuo   : out fpu_out_type
36
  );
37
end;
38
 
39
architecture rtl of fpu_core is
40
begin
41
 
42
  meiko0 : if FPCORE = meiko generate
43
    fpu0 : fpu port map (
44
    ss_clock   => clk,
45
    FpInst     => fpui.FpInst,
46
    FpOp       => fpui.fpop,
47
    FpLd       => fpui.FpLd,
48
    Reset      => fpui.reset,
49
    fprf_dout1 => fpui.fprf_dout1,
50
    fprf_dout2 => fpui.fprf_dout2,
51
    RoundingMode => fpui.RoundingMode,
52
    FpBusy    => fpuo.FpBusy,
53
    FracResult => fpuo.FracResult,
54
    ExpResult  => fpuo.ExpResult,
55
    SignResult => fpuo.SignResult,
56
    SNnotDB    => fpuo.SNnotDB,
57
    Excep      => fpuo.Excep,
58
    ConditionCodes => fpuo.ConditionCodes,
59
    ss_scan_mode => fpui.ss_scan_mode,
60
    fp_ctl_scan_in => fpui.fp_ctl_scan_in,
61
    fp_ctl_scan_out => fpuo.fp_ctl_scan_out
62
   );
63
  end generate;
64
 
65
  lth0 : if FPCORE = lth generate
66
    fpu0 : fpu_lth port map (
67
 
68
    ss_clock   => clk,
69
 
70
    FpInst     => fpui.FpInst,
71
    FpOp       => fpui.fpop,
72
    FpLd       => fpui.FpLd,
73
    Reset      => fpui.reset,
74
    fprf_dout1 => fpui.fprf_dout1,
75
    fprf_dout2 => fpui.fprf_dout2,
76
    RoundingMode => fpui.RoundingMode,
77
    FpBusy    => fpuo.FpBusy,
78
    FracResult => fpuo.FracResult,
79
    ExpResult  => fpuo.ExpResult,
80
    SignResult => fpuo.SignResult,
81
    SNnotDB    => fpuo.SNnotDB,
82
    Excep      => fpuo.Excep,
83
    ConditionCodes => fpuo.ConditionCodes,
84
    ss_scan_mode => fpui.ss_scan_mode,
85
    fp_ctl_scan_in => fpui.fp_ctl_scan_in,
86
    fp_ctl_scan_out => fpuo.fp_ctl_scan_out
87
   );
88
  end generate;
89
 
90
end;
91
 
92
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.