OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [sparc/] [fpulib.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
 
2
 
3
 
4
 
5
----------------------------------------------------------------------------
6
--  This file is a part of the LEON VHDL model
7
--  Copyright (C) 1999  European Space Agency (ESA)
8
--
9
--  This library is free software; you can redistribute it and/or
10
--  modify it under the terms of the GNU Lesser General Public
11
--  License as published by the Free Software Foundation; either
12
--  version 2 of the License, or (at your option) any later version.
13
--
14
--  See the file COPYING.LGPL for the full details of the license.
15
 
16
 
17
-----------------------------------------------------------------------------
18
-- Entity:      fpulib
19
-- File:        fpulib.vhd
20
-- Author:      Jiri Gaisler - Gaisler Research
21
-- Description: component declarations for FPU related modules
22
------------------------------------------------------------------------------
23
 
24
LIBRARY ieee;
25
use IEEE.std_logic_1164.all;
26
use work.leon_iface.all;
27
 
28
package fpulib is
29
 
30
-- meiko core
31
 
32
component fpu
33
  port (
34
    ss_clock   : in  clk_type;
35
    FpInst     : in  std_logic_vector(9 downto 0);
36
    FpOp       : in  std_logic;
37
    FpLd       : in  std_logic;
38
    Reset      : in  std_logic;
39
    fprf_dout1 : in  std_logic_vector(63 downto 0);
40
    fprf_dout2 : in  std_logic_vector(63 downto 0);
41
    RoundingMode : in  std_logic_vector(1 downto 0);
42
    FpBusy     : out std_logic;
43
    FracResult : out std_logic_vector(54 downto 3);
44
    ExpResult  : out std_logic_vector(10 downto 0);
45
    SignResult : out std_logic;
46
    SNnotDB    : out std_logic;
47
    Excep      : out std_logic_vector(5 downto 0);
48
    ConditionCodes : out std_logic_vector(1 downto 0);
49
    ss_scan_mode : in  std_logic;
50
    fp_ctl_scan_in : in  std_logic;
51
    fp_ctl_scan_out : out std_logic
52
  );
53
end component;
54
 
55
-- Martin Kasprzyk core
56
 
57
component fpu_lth
58
  port (
59
    ss_clock   : in  std_logic;
60
    FpInst     : in  std_logic_vector(9 downto 0);
61
    FpOp       : in  std_logic;
62
    FpLd       : in  std_logic;
63
    Reset      : in  std_logic;
64
    fprf_dout1 : in  std_logic_vector(63 downto 0);
65
    fprf_dout2 : in  std_logic_vector(63 downto 0);
66
    RoundingMode : in  std_logic_vector(1 downto 0);
67
    FpBusy     : out std_logic;
68
    FracResult : out std_logic_vector(54 downto 3);
69
    ExpResult  : out std_logic_vector(10 downto 0);
70
    SignResult : out std_logic;
71
    SNnotDB    : out std_logic;
72
    Excep      : out std_logic_vector(5 downto 0);
73
    ConditionCodes : out std_logic_vector(1 downto 0);
74
    ss_scan_mode : in  std_logic;
75
    fp_ctl_scan_in : in  std_logic;
76
    fp_ctl_scan_out : out std_logic
77
  );
78
end component;
79
 
80
-- wrapper for meiko-compatible cores
81
 
82
component fpu_core
83
port (
84
    clk    : in  clk_type;
85
    fpui   : in  fpu_in_type;
86
    fpuo   : out fpu_out_type
87
  );
88
end component;
89
 
90
component fp
91
port (
92
    rst    : in  std_logic;                     -- Reset
93
    clk    : in  clk_type;                      -- main clock   
94
    iuclk  : in  clk_type;                      -- gated IU clock
95
    holdn  : in  std_logic;                     -- pipeline hold
96
    xholdn : in  std_logic;                     -- pipeline hold
97
    cpi    : in  cp_in_type;
98
    cpo    : out cp_out_type
99
  );
100
end component;
101
 
102
component fp1eu
103
port (
104
    rst    : in  std_logic;                     -- Reset
105
    clk    : in  clk_type;
106
    holdn  : in  std_logic;                     -- Reset
107
    xholdn : in  std_logic;                     -- Reset
108
    cpi    : in  cp_in_type;
109
    cpo    : out cp_out_type
110
  );
111
end component;
112
 
113
component grfpc
114
port (
115
    rst    : in  std_logic;                     -- Reset
116
    clk    : in  clk_type;
117
    holdn  : in  std_logic;                     -- pipeline hold
118
    xholdn : in  std_logic;                     -- pipeline hold
119
    cpi    : in  cp_in_type;
120
    cpo    : out cp_out_type
121
    );
122
end component;
123
 
124
end;
125
 
126
 
127
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.