OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [sparc/] [grfpc.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
----------------------------------------------------------------------------
2
--  This file is a part of the LEON VHDL model
3
--  Copyright (C) 2003 Gaisler Research, all rights reserved.
4
--
5
--  This library is free software; you can redistribute it and/or
6
--  modify it under the terms of the GNU Lesser General Public
7
--  License as published by the Free Software Foundation; either
8
--  version 2 of the License, or (at your option) any later version.
9
--
10
--  See the file COPYING.LGPL for the full details of the license.
11
 
12
--------------------------------------------------------------------------
13
-- Dummy model for the GR FPU/FPC
14
--------------------------------------------------------------------------
15
 
16
library IEEE;
17
use IEEE.std_logic_1164.all;
18
use work.leon_iface.all;
19
use work.leon_config.all;
20
 
21
entity grfpc is
22
port (
23
    rst    : in  std_logic;
24
    clk    : in  std_logic;
25
    holdn  : in  std_logic;
26
    xholdn : in  std_logic;
27
    cpi    : in  cp_in_type;
28
    cpo    : out cp_out_type
29
    );
30
end;
31
 
32
 
33
architecture rtl of grfpc is
34
 
35
component grfpcx
36
port (
37
  rst    : in  std_logic;
38
  clk    : in  std_logic;
39
  holdn  : in  std_logic;
40
  xholdn : in  std_logic;
41
  cpi_flush : in  std_logic;
42
  cpi_exack   : in  std_logic;
43
  cpi_fdata     : in  std_logic_vector(31 downto 0);
44
  cpi_frdy        : in  std_logic;
45
  cpi_dannul  : in  std_logic;
46
  cpi_dtrap   : in  std_logic;
47
  cpi_dcnt     : in  std_logic_vector(1 downto 0);
48
  cpi_dinst     : in  std_logic_vector(31 downto 0);
49
  cpi_ex_inst   : std_logic_vector(31 downto 0);
50
  cpi_ex_pc     : std_logic_vector(31 downto PCLOW);
51
  cpi_ex_annul  : std_logic;
52
  cpi_ex_cnt    : std_logic_vector(1 downto 0);
53
  cpi_ex_ld     : std_logic;
54
  cpi_ex_pv     : std_logic;
55
  cpi_ex_rett   : std_logic;
56
  cpi_ex_trap   : std_logic;
57
  cpi_ex_tt     : std_logic_vector(5 downto 0);
58
  cpi_ex_rd     : std_logic_vector(RABITS-1 downto 0);
59
  cpi_me_inst   : std_logic_vector(31 downto 0);
60
  cpi_me_pc     : std_logic_vector(31 downto PCLOW);
61
  cpi_me_annul  : std_logic;
62
  cpi_me_cnt    : std_logic_vector(1 downto 0);
63
  cpi_me_ld     : std_logic;
64
  cpi_me_pv     : std_logic;
65
  cpi_me_rett   : std_logic;
66
  cpi_me_trap   : std_logic;
67
  cpi_me_tt     : std_logic_vector(5 downto 0);
68
  cpi_me_rd     : std_logic_vector(RABITS-1 downto 0);
69
  cpi_wr_inst   : std_logic_vector(31 downto 0);
70
  cpi_wr_pc     : std_logic_vector(31 downto PCLOW);
71
  cpi_wr_annul  : std_logic;
72
  cpi_wr_cnt    : std_logic_vector(1 downto 0);
73
  cpi_wr_ld     : std_logic;
74
  cpi_wr_pv     : std_logic;
75
  cpi_wr_rett   : std_logic;
76
  cpi_wr_trap   : std_logic;
77
  cpi_wr_tt     : std_logic_vector(5 downto 0);
78
  cpi_wr_rd     : std_logic_vector(RABITS-1 downto 0);
79
  cpi_lddata   : in  std_logic_vector(31 downto 0);
80
  cpi_debug_daddr  : in  std_logic_vector(4 downto 0);
81
  cpi_debug_dread_fsr : in  std_logic;
82
  cpi_debug_dwrite_fsr : in  std_logic;
83
  cpi_debug_denable  : in  std_logic;
84
  cpi_debug_dwrite   : in  std_logic;
85
  cpi_debug_ddata    : in  std_logic_vector(31 downto 0);
86
 
87
  cpo_data   : out  std_logic_vector(31 downto 0);
88
  cpo_exc          : out  std_logic;
89
  cpo_cc        : out  std_logic_vector(1 downto 0);
90
  cpo_ccv           : out  std_logic;
91
  cpo_holdn         : out  std_logic;
92
  cpo_ldlock    : out  std_logic;
93
 
94
  cpo_debug_ddata    : out  std_logic_vector(63 downto 0);
95
  cpo_debug_wr_fp    : out  std_logic;
96
  cpo_debug_wr2_fp    : out  std_logic;
97
  cpo_debug_write_fpreg : out  std_logic_vector(1 downto 0);
98
  cpo_debug_write_fsr : out  std_logic;
99
  cpo_debug_fpreg    : out  std_logic_vector(3 downto 0);
100
  cpo_debug_op       : out  std_logic_vector(31 downto 0);
101
  cpo_debug_pc       : out  std_logic_vector(31 downto PCLOW)
102
    );
103
end component;
104
 
105
 
106
begin
107
 
108
  l1 : grfpcx port map (
109
  rst, clk, holdn, xholdn, cpi.flush, cpi.exack, cpi.fdata,
110
  cpi.frdy, cpi.dannul, cpi.dtrap, cpi.dcnt, cpi.dinst, cpi.ex.inst,
111
  cpi.ex.pc, cpi.ex.annul, cpi.ex.cnt, cpi.ex.ld, cpi.ex.pv, cpi.ex.rett,
112
  cpi.ex.trap, cpi.ex.tt, cpi.ex.rd, cpi.me.inst, cpi.me.pc, cpi.me.annul,
113
  cpi.me.cnt, cpi.me.ld, cpi.me.pv, cpi.me.rett, cpi.me.trap, cpi.me.tt,
114
  cpi.me.rd, cpi.wr.inst, cpi.wr.pc, cpi.wr.annul, cpi.wr.cnt, cpi.wr.ld,
115
  cpi.wr.pv, cpi.wr.rett, cpi.wr.trap, cpi.wr.tt, cpi.wr.rd, cpi.lddata,
116
  cpi.debug.daddr, cpi.debug.dread_fsr, cpi.debug.dwrite_fsr, cpi.debug.denable,
117
  cpi.debug.dwrite, cpi.debug.ddata, cpo.data, cpo.exc, cpo.cc,
118
  cpo.ccv, cpo.holdn, cpo.ldlock, cpo.debug.ddata,
119
  cpo.debug.wr_fp, cpo.debug.wr2_fp, cpo.debug.write_fpreg, cpo.debug.write_fsr,
120
  cpo.debug.fpreg, cpo.debug.op, cpo.debug.pc);
121
 
122
 
123
end;
124
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.