OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [sparc/] [leon_eth_pci.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
 
2
 
3
 
4
 
5
----------------------------------------------------------------------------
6
--  This file is a part of the LEON VHDL model
7
--  Copyright (C) 1999  European Space Agency (ESA)
8
--
9
--  This library is free software; you can redistribute it and/or
10
--  modify it under the terms of the GNU Lesser General Public
11
--  License as published by the Free Software Foundation; either
12
--  version 2 of the License, or (at your option) any later version.
13
--
14
--  See the file COPYING.LGPL for the full details of the license.
15
 
16
 
17
-----------------------------------------------------------------------------
18
-- Entity:      leon_pci
19
-- File:        leon_pci.vhd
20
-- Author:      Jiri Gaisler - ESA/ESTEC
21
-- Description: Complete processor with PCI pads
22
------------------------------------------------------------------------------
23
 
24
library IEEE;
25
use IEEE.std_logic_1164.all;
26
use work.leon_target.all;
27
use work.leon_config.all;
28
use work.leon_iface.all;
29
use work.tech_map.all;
30
-- pragma translate_off
31
use work.debug.all;
32
-- pragma translate_on
33
 
34
entity leon_eth_pci is
35
  port (
36
    resetn   : in    std_logic;                         -- system signals
37
    clk      : in    std_logic;
38
    pllref   : in    std_logic;
39
    plllock  : out   std_logic;
40
 
41
    errorn   : out   std_logic;
42
    address  : out   std_logic_vector(27 downto 0);      -- memory bus
43
 
44
    data     : inout std_logic_vector(31 downto 0);
45
 
46
    ramsn    : out   std_logic_vector(4 downto 0);
47
    ramoen   : out   std_logic_vector(4 downto 0);
48
    rwen     : inout std_logic_vector(3 downto 0);
49
    romsn    : out   std_logic_vector(1 downto 0);
50
    iosn     : out   std_logic;
51
    oen      : out   std_logic;
52
    read     : out   std_logic;
53
    writen   : inout std_logic;
54
 
55
    brdyn    : in    std_logic;
56
    bexcn    : in    std_logic;
57
-- sdram i/f
58
    sdcke    : out std_logic_vector ( 1 downto 0);  -- clk en
59
    sdcsn    : out std_logic_vector ( 1 downto 0);  -- chip sel
60
    sdwen    : out std_logic;                       -- write en
61
    sdrasn   : out std_logic;                       -- row addr stb
62
    sdcasn   : out std_logic;                       -- col addr stb
63
    sddqm    : out std_logic_vector ( 3 downto 0);  -- data i/o mask
64
    sdclk    : out std_logic;
65
    pio      : inout std_logic_vector(15 downto 0);      -- I/O port
66
 
67
    wdogn    : out   std_logic;                         -- watchdog output
68
 
69
    dsuen    : in    std_logic;
70
    dsutx    : out   std_logic;
71
    dsurx    : in    std_logic;
72
    dsubre   : in    std_logic;
73
    dsuact   : out   std_logic;
74
    test     : in    std_logic;
75
 
76
    pci_rst_in_n   : in std_logic;              -- PCI bus
77
    pci_clk_in     : in std_logic;
78
    pci_gnt_in_n   : in std_logic;
79
    pci_idsel_in   : in std_logic;  -- ignored in host bridge core
80
    pci_lock_n     : inout std_logic;  -- Phoenix core: input only
81
    pci_ad         : inout std_logic_vector(31 downto 0);
82
    pci_cbe_n      : inout std_logic_vector(3 downto 0);
83
    pci_frame_n    : inout std_logic;
84
    pci_irdy_n     : inout std_logic;
85
    pci_trdy_n     : inout std_logic;
86
    pci_devsel_n   : inout std_logic;
87
    pci_stop_n     : inout std_logic;
88
    pci_perr_n     : inout std_logic;
89
    pci_par        : inout std_logic;
90
    pci_req_n      : inout std_logic;  -- tristate pad but never read
91
    pci_serr_n     : inout std_logic;  -- open drain output
92
    pci_host       : in std_logic;
93
    pci_66         : in std_logic;
94
 
95
    pci_arb_req_n  : in  std_logic_vector(0 to 3);
96
    pci_arb_gnt_n  : out std_logic_vector(0 to 3);
97
 
98
    power_state    : out std_logic_vector(1 downto 0);
99
    pme_enable     : out std_logic;
100
    pme_clear      : out std_logic;
101
    pme_status     : in  std_logic;
102
 
103
-- ethernet
104
    emdio     : inout std_logic;
105
    etx_clk : in std_logic;
106
    erx_clk : in std_logic;
107
    erxd    : in std_logic_vector(3 downto 0);
108
    erx_dv  : in std_logic;
109
    erx_er  : in std_logic;
110
    erx_col : in std_logic;
111
    erx_crs : in std_logic;
112
 
113
    etxd : out std_logic_vector(3 downto 0);
114
    etx_en : out std_logic;
115
    etx_er : out std_logic;
116
    emdc : out std_logic;
117
 
118
    emddis : out std_logic;
119
    epwrdwn : out std_logic;
120
    ereset : out std_logic;
121
    esleep : out std_logic;
122
    epause : out std_logic
123
 
124
  );
125
end;
126
 
127
architecture rtl of leon_eth_pci is
128
 
129
component mcore
130
  port (
131
    resetn   : in  std_logic;
132
    clk      : in  clk_type;
133
    clkn     : in  clk_type;
134
    pciclk   : in  clk_type;
135
    memi     : in  memory_in_type;
136
    memo     : out memory_out_type;
137
    ioi      : in  io_in_type;
138
    ioo      : out io_out_type;
139
    pcii     : in  pci_in_type;
140
    pcio     : out pci_out_type;
141
    dsi      : in  dsuif_in_type;
142
    dso      : out dsuif_out_type;
143
    sdo      : out sdram_out_type;
144
    ethi     : in  eth_in_type;
145
    etho     : out eth_out_type;
146
    cgo      : in  clkgen_out_type;
147
 
148
    test     : in    std_logic
149
);
150
end component;
151
 
152
signal vcc, gnd, clko, sdclkl, resetno : std_logic;
153
signal clkm, clkn, pciclk : clk_type;
154
signal memi     : memory_in_type;
155
signal memo     : memory_out_type;
156
signal ioi      : io_in_type;
157
signal ioo      : io_out_type;
158
signal pcii     : pci_in_type;
159
signal pcio     : pci_out_type;
160
signal dsi      : dsuif_in_type;
161
signal dso      : dsuif_out_type;
162
signal sdo      : sdram_out_type;
163
signal cgi      : clkgen_in_type;
164
signal cgo      : clkgen_out_type;
165
 
166
signal pci_aden         : std_logic_vector(31 downto 0);
167
signal pci_cbeen        : std_logic_vector(3 downto 0);
168
signal pci_frame_en     : std_logic;
169
signal pci_irdy_en      : std_logic;
170
signal pci_trdy_en      : std_logic;
171
signal pci_devsel_en    : std_logic;
172
signal pci_stop_en      : std_logic;
173
signal pci_perr_en      : std_logic;
174
signal pci_par_en       : std_logic;
175
signal pci_req_en       : std_logic;
176
signal pci_serr_en      : std_logic;
177
signal pci_lock_en      : std_logic;
178
signal pci_lock_out     : std_logic;
179
signal pci_req_in_dummy : std_logic;
180
signal pci_clk          : std_logic;
181
 
182
signal ethi     : eth_in_type;
183
signal etho     : eth_out_type;
184
 
185
begin
186
 
187
  gnd <= '0'; vcc <= '1';
188
  cgi.pllctrl <= "00"; cgi.pllrst <= resetno; cgi.pllref <= pllref;
189
 
190
-- main processor core
191
 
192
  mcore0  : mcore
193
  port map (
194
    resetn => resetno, clk => clkm, clkn => clkn, pciclk => pciclk,
195
    memi => memi, memo => memo, ioi => ioi, ioo => ioo,
196
    pcii => pcii, pcio => pcio, dsi => dsi, dso => dso, sdo => sdo,
197
    ethi => ethi, etho => etho, cgo => cgo, test => test);
198
 
199
-- clock generator
200
 
201
  clkgen0 : clkgen
202
  port map ( clko, pci_clk, clkm, clkn, sdclkl, pciclk, cgi, cgo);
203
 
204
-- pads
205
 
206
--  clk_pad   : inpad port map (clk, clko);     -- clock
207
  clko <= clk;                                  -- avoid buffering during synthesis
208
  reset_pad   : smpad port map (resetn, resetno);       -- reset
209
  brdyn_pad   : inpad port map (brdyn, memi.brdyn);     -- bus ready
210
  bexcn_pad   : inpad port map (bexcn, memi.bexcn);     -- bus exception
211
 
212
  ds : if DEBUG_UNIT generate
213
    dsuen_pad   : inpad port map (dsuen, dsi.dsui.dsuen);       -- DSU enable
214
    dsutx_pad   : outpad generic map (1) port map (dso.dcomo.dsutx, dsutx);
215
    dsurx_pad   : inpad port map (dsurx, dsi.dcomi.dsurx);      -- DSU receive data
216
    dsubre_pad  : inpad port map (dsubre, dsi.dsui.dsubre);     -- DSU break
217
    dsuact_pad  : outpad generic map (1) port map (dso.dsuo.dsuact, dsuact);
218
  end generate;
219
 
220
  sd : if SDRAMEN generate
221
    cs_pads: for i in 0 to 1 generate
222
      sdcke_pad  : outpad generic map (2) port map (sdo.sdcke(i), sdcke(i));
223
      sdcsn_pad  : outpad generic map (2) port map (sdo.sdcsn(i), sdcsn(i));
224
    end generate;
225
    sdwen_pad  : outpad generic map (2) port map (sdo.sdwen, sdwen);
226
    sdrasn_pad : outpad generic map (2) port map (sdo.rasn, sdrasn);
227
    sdcasn_pad : outpad generic map (2) port map (sdo.casn, sdcasn);
228
    dqm_pads: for i in 0 to 3 generate
229
      sddqm_pad   : outpad generic map (2) port map (sdo.dqm(i), sddqm(i));
230
    end generate;
231
--      sdclk_pad : outpad generic map (2) port map (sdclkl, sdclk);
232
    sdclk <= sdclkl;  -- disable pad for simulation
233
  end generate;
234
 
235
    error_pad   : odpad generic map (2) port map (ioo.errorn, errorn);  -- cpu error mode
236
 
237
    d_pads: for i in 0 to 31 generate                    -- data bus
238
      d_pad : iopad generic map (3) port map (memo.data(i), memo.bdrive((31-i)/8), memi.data(i), data(i));
239
    end generate;
240
 
241
 
242
    pio_pads : for i in 0 to 15 generate         -- parallel I/O port
243
      pio_pad : smiopad generic map (2) port map (ioo.piol(i), ioo.piodir(i), ioi.piol(i), pio(i));
244
    end generate;
245
 
246
    rwen_pads : for i in 0 to 3 generate                 -- ram write strobe
247
      rwen_pad : iopad generic map (2) port map (memo.wrn(i), gnd, memi.wrn(i), rwen(i));
248
    end generate;
249
 
250
                                                        -- I/O write strobe
251
    writen_pad : iopad generic map (2) port map (memo.writen, gnd, memi.writen, writen);
252
 
253
    a_pads : for i in 0 to 27 generate                   -- memory address
254
      a_pads : outpad generic map (3) port map (memo.address(i), address(i));
255
    end generate;
256
 
257
    ramsn_pads : for i in 0 to 4 generate                -- ram oen/rasn
258
      ramsn_pad : outpad generic map (2) port map (memo.ramsn(i), ramsn(i));
259
    end generate;
260
 
261
    ramoen_pads : for i in 0 to 4 generate               -- ram chip select
262
      ramoen_pad : outpad generic map (2) port map (memo.ramoen(i), ramoen(i));
263
    end generate;
264
 
265
    romsn_pads : for i in 0 to 1 generate                        -- rom chip select
266
      romsn_pad : outpad generic map (2) port map (memo.romsn(i), romsn(i));
267
    end generate;
268
 
269
    read_pad : outpad generic map (2) port map (memo.read, read);       -- memory read
270
    oen_pad  : outpad generic map (2) port map (memo.oen, oen); -- memory oen
271
    iosn_pad : outpad generic map (2) port map (memo.iosn, iosn);       -- I/O select
272
 
273
    wd : if WDOGEN generate
274
      wdogn_pad : odpad generic map (2) port map (ioo.wdog, wdogn);     -- watchdog output
275
    end generate;
276
 
277
    pl : if TARGET_CLK /= gen generate
278
      plllock_pad : outpad generic map (2) port map (cgo.clklock, plllock);
279
    end generate;
280
 
281
      pcictrl0 : if PCICORE /= opencores generate
282
        pci_trdy_en   <= pcio.pci_ctrl_en_n;
283
        pci_devsel_en <= pcio.pci_ctrl_en_n;
284
        pci_stop_en   <= pcio.pci_ctrl_en_n;
285
      end generate;
286
 
287
      pcictrl1 : if PCICORE = opencores generate
288
        pci_trdy_en   <= pcio.pci_trdy_en_n;
289
        pci_devsel_en <= pcio.pci_devsel_en_n;
290
        pci_stop_en   <= pcio.pci_stop_en_n;
291
 
292
      end generate;
293
 
294
      pcictrl2 : if PCIEN generate
295
        pci_aden     <= pcio.pci_aden_n;
296
        pci_cbeen(0) <= pcio.pci_cbe0_en_n;
297
        pci_cbeen(1) <= pcio.pci_cbe1_en_n;
298
        pci_cbeen(2) <= pcio.pci_cbe2_en_n;
299
        pci_cbeen(3) <= pcio.pci_cbe3_en_n;
300
        pci_frame_en <= pcio.pci_frame_en_n;
301
        pci_irdy_en  <= pcio.pci_irdy_en_n;
302
        pci_perr_en  <= pcio.pci_perr_en_n;
303
        pci_par_en   <= pcio.pci_par_en_n;
304
        pci_req_en   <= pcio.pci_req_en_n;
305
        pci_serr_en  <= pcio.pci_serr_out_n;  -- open drain pad!
306
        pci_lock_en  <= '1'; -- is-core has no lock output -> deactivate
307
        pci_lock_out <= '0';            -- dont care this output
308
      end generate;
309
 
310
      pci_rst_in_n_pad : pciinpad port map(pci_rst_in_n, pcii.pci_rst_in_n);
311
--      pci_clk_in_pad : inpad port map(pci_clk_in, pci_clk);
312
      pci_clk <= pci_clk_in;
313
      pci_gnt_in_n_pad : pciinpad port map(pci_gnt_in_n, pcii.pci_gnt_in_n);
314
      pci_idsel_in_pad : pciinpad port map(pci_idsel_in, pcii.pci_idsel_in);  -- ignored in host bridge core
315
--      pci_lock_in_n_pad : inpad port map(pci_lock_in_n, pcii.pci_lock_in_n);  -- Phoenix core: input only
316
      pci_lock_n_pad : pciiopad port map(pci_lock_out, pci_lock_en, pcii.pci_lock_in_n, pci_lock_n);
317
 
318
 
319
 
320
      pci_ad_pads : for i in 0 to 31 generate
321
        pci_adio_pad : pciiopad
322
            port map(pcio.pci_adout(i), pci_aden(i), pcii.pci_adin(i), pci_ad(i));
323
      end generate pci_ad_pads;
324
 
325
      pci_cbe_n_pads : for i in 0 to 3 generate
326
        pci_cbeio_n_pad : pciiopad
327
            port map(pcio.pci_cbeout_n(i), pci_cbeen(i), pcii.pci_cbein_n(i), pci_cbe_n(i));
328
      end generate pci_cbe_n_pads;
329
 
330
      pci_frame_io_n_pad : pciiopad port map
331
        (pcio.pci_frame_out_n, pci_frame_en, pcii.pci_frame_in_n, pci_frame_n);
332
 
333
      pci_irdy_io_n_pad : pciiopad port map
334
        (pcio.pci_irdy_out_n, pci_irdy_en, pcii.pci_irdy_in_n, pci_irdy_n);
335
 
336
      pci_trdy_io_n_pad : pciiopad port map
337
        (pcio.pci_trdy_out_n, pci_trdy_en, pcii.pci_trdy_in_n, pci_trdy_n);
338
      pci_devsel_io_n_pad : pciiopad port map
339
        (pcio.pci_devsel_out_n, pci_devsel_en, pcii.pci_devsel_in_n, pci_devsel_n);
340
      pci_stop_io_n_pad : pciiopad port map
341
        (pcio.pci_stop_out_n, pci_stop_en, pcii.pci_stop_in_n, pci_stop_n);
342
 
343
      pci_perr_io_n_pad : pciiopad port map
344
        (pcio.pci_perr_out_n, pci_perr_en, pcii.pci_perr_in_n, pci_perr_n);
345
 
346
      pci_par_io_pad : pciiopad port map
347
        (pcio.pci_par_out, pci_par_en, pcii.pci_par_in, pci_par);
348
 
349
      pci_req_io_n_pad : pciiopad port map      -- tristate pad but never read
350
        (pcio.pci_req_out_n, pci_req_en, pci_req_in_dummy, pci_req_n);
351
 
352
    -- open drain bidir
353
      pci_serr_n_pad   : pciiodpad port map (pci_serr_en, pcii.pci_serr_in_n, pci_serr_n);
354
 
355
    -- PCI host select
356
      pci_host_pad : inpad port map (pci_host, pcii.pci_host);
357
 
358
    -- Optional PCI arbiter
359
 
360
      parb1 : if PCIARBEN generate
361
        pgnt : for i in 0 to 3 generate
362
          pcignt : pcioutpad port map (ioo.pci_arb_gnt_n(i), pci_arb_gnt_n(i));
363
        end generate;
364
      end generate;
365
 
366
      parb2 : if PCIARBEN generate
367
        preq : for i in 0 to 3 generate
368
          pcireq : inpad port map (pci_arb_req_n(i), ioi.pci_arb_req_n(i));
369
        end generate;
370
      end generate;
371
    -- Optional 66 MHz pad
372
      p66  : if PCI66PADEN generate
373
        pci_66_pad : inpad port map(pci_66, pcii.pci_66);
374
      end generate;
375
      np66  : if not PCI66PADEN generate
376
        pcii.pci_66 <= '0';
377
      end generate;
378
 
379
    -- Optional power control pads
380
 
381
      pme  : if PCIPMEEN generate
382
        pmes : for i in 1 downto 0 generate
383
          power_state_pad : pcioutpad port map (pcio.power_state(i), power_state(i));
384
        end generate;
385
        pme_enable_pad : pcioutpad port map (pcio.pme_enable, pme_enable);
386
        pme_clear_pad  : pcioutpad port map (pcio.pme_clear, pme_clear);
387
        pme_status_pad : inpad port map(pme_status, pcii.pme_status);
388
      end generate;
389
      npme  : if not PCIPMEEN generate
390
        pcii.pme_status <= '0';
391
      end generate;
392
 
393
    eth_pads : if ETHEN generate
394
      emdio_pad : iopad generic map (2) port map (etho.mdio_o, etho.mdio_oe, ethi.mdio_i, emdio);
395
      etx_clk_pad   : inpad port map (etx_clk, ethi.tx_clk);
396
      erx_clk_pad   : inpad port map (erx_clk, ethi.rx_clk);
397
      erxd_pads: for i in 0 to 3 generate                        -- data bus
398
        erxd_pad   : inpad port map (erxd(i), ethi.rxd(i));
399
      end generate;
400
      erx_dv_pad   : inpad port map (erx_dv, ethi.rx_dv);
401
      erx_er_pad   : inpad port map (erx_er, ethi.rx_er);
402
      erx_col_pad   : inpad port map (erx_col, ethi.rx_col);
403
      erx_crs_pad   : inpad port map (erx_crs, ethi.rx_crs);
404
      etxd_pads: for i in 0 to 3 generate                        -- data bus
405
        etxd_pad   : outpad generic map (1) port map (etho.txd(i), etxd(i));
406
      end generate;
407
      etx_en_pad   : outpad generic map (1) port map (etho.tx_en, etx_en);
408
      etx_er_pad   : outpad generic map (1) port map (etho.tx_er, etx_er);
409
      emdc_pad   : outpad generic map (1) port map (etho.mdc, emdc);
410
 
411
      emddis_pad   : outpad generic map (1) port map (gnd, emddis);
412
      epwrdwn_pad   : outpad generic map (1) port map (gnd, epwrdwn);
413
      ereset_pad   : outpad generic map (1) port map (vcc, etho.reset);
414
      esleep_pad   : outpad generic map (1) port map (vcc, esleep);
415
      epause_pad   : outpad generic map (1) port map (gnd, epause);
416
    end generate;
417
 
418
end ;
419
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.