OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [sparc/] [macro.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
 
2
 
3
 
4
 
5
----------------------------------------------------------------------------
6
--  This file is a part of the LEON VHDL model
7
--  Copyright (C) 1999  European Space Agency (ESA)
8
--
9
--  This library is free software; you can redistribute it and/or
10
--  modify it under the terms of the GNU Lesser General Public
11
--  License as published by the Free Software Foundation; either
12
--  version 2 of the License, or (at your option) any later version.
13
--
14
--  See the file COPYING.LGPL for the full details of the license.
15
 
16
 
17
-----------------------------------------------------------------------------
18
-- Entity:      macro
19
-- File:        macro.vhd
20
-- Author:      Jiri Gaisler - ESA/ESTEC
21
-- Description: some common macro functions
22
------------------------------------------------------------------------------
23
-- Version control:
24
-- 29-11-1997:  First implemetation
25
-- 26-09-1999:  Release 1.0
26
------------------------------------------------------------------------------
27
 
28
 
29
library IEEE;
30
use IEEE.std_logic_1164.all;
31
use IEEE.std_logic_arith.all;
32
use work.leon_target.all;
33
use work.leon_config.all;
34
use work.leon_iface.all;
35
 
36
package macro is
37
 
38
constant zero32 : std_Logic_vector(31 downto 0) := (others => '0');
39
 
40
function decode(v : std_logic_vector) return std_logic_vector;
41
function genmux(s,v : std_logic_vector) return std_logic;
42
function xorv(d : std_logic_vector) return std_logic;
43
function orv(d : std_logic_vector) return std_logic;
44
 
45
-- 3-way set permutations
46
-- s012 => set 0 - least recently used
47
--         set 2 - most recently used
48
constant s012 : std_logic_vector(2 downto 0) := "000";
49
constant s021 : std_logic_vector(2 downto 0) := "001";
50
constant s102 : std_logic_vector(2 downto 0) := "010";
51
constant s120 : std_logic_vector(2 downto 0) := "011";
52
constant s201 : std_logic_vector(2 downto 0) := "100";
53
constant s210 : std_logic_vector(2 downto 0) := "101";
54
 
55
 
56
-- 4-way set permutations
57
-- s0123 => set 0 - least recently used
58
--          set 3 - most recently used
59
constant s0123 : std_logic_vector(4 downto 0) := "00000";
60
constant s0132 : std_logic_vector(4 downto 0) := "00001";
61
constant s0213 : std_logic_vector(4 downto 0) := "00010";
62
constant s0231 : std_logic_vector(4 downto 0) := "00011";
63
constant s0312 : std_logic_vector(4 downto 0) := "00100";
64
constant s0321 : std_logic_vector(4 downto 0) := "00101";
65
constant s1023 : std_logic_vector(4 downto 0) := "00110";
66
constant s1032 : std_logic_vector(4 downto 0) := "00111";
67
constant s1203 : std_logic_vector(4 downto 0) := "01000";
68
constant s1230 : std_logic_vector(4 downto 0) := "01001";
69
constant s1302 : std_logic_vector(4 downto 0) := "01010";
70
constant s1320 : std_logic_vector(4 downto 0) := "01011";
71
constant s2013 : std_logic_vector(4 downto 0) := "01100";
72
constant s2031 : std_logic_vector(4 downto 0) := "01101";
73
constant s2103 : std_logic_vector(4 downto 0) := "01110";
74
constant s2130 : std_logic_vector(4 downto 0) := "01111";
75
constant s2301 : std_logic_vector(4 downto 0) := "10000";
76
constant s2310 : std_logic_vector(4 downto 0) := "10001";
77
constant s3012 : std_logic_vector(4 downto 0) := "10010";
78
constant s3021 : std_logic_vector(4 downto 0) := "10011";
79
constant s3102 : std_logic_vector(4 downto 0) := "10100";
80
constant s3120 : std_logic_vector(4 downto 0) := "10101";
81
constant s3201 : std_logic_vector(4 downto 0) := "10110";
82
constant s3210 : std_logic_vector(4 downto 0) := "10111";
83
 
84
type lru_3set_table_vector_type is array(0 to 2) of std_logic_vector(2 downto 0);
85
type lru_3set_table_type is array (0 to 7) of lru_3set_table_vector_type;
86
 
87
constant lru_3set_table : lru_3set_table_type :=
88
  ( (s120, s021, s012),                   -- s012
89
    (s210, s021, s012),                   -- s021
90
    (s120, s021, s102),                   -- s102
91
    (s120, s201, s102),                   -- s120
92
    (s210, s201, s012),                   -- s201
93
    (s210, s201, s102),                   -- s210
94
    (s210, s201, s102),                   -- dummy
95
    (s210, s201, s102)                    -- dummy
96
  );
97
 
98
type lru_4set_table_vector_type is array(0 to 3) of std_logic_vector(4 downto 0);
99
type lru_4set_table_type is array(0 to 31) of lru_4set_table_vector_type;
100
 
101
constant lru_4set_table : lru_4set_table_type :=
102
  ( (s1230, s0231, s0132, s0123),       -- s0123
103
    (s1320, s0321, s0132, s0123),       -- s0132
104
    (s2130, s0231, s0132, s0213),       -- s0213
105
    (s2310, s0231, s0312, s0213),       -- s0231
106
    (s3120, s0321, s0312, s0123),       -- s0312    
107
    (s3210, s0321, s0312, s0213),       -- s0321
108
    (s1230, s0231, s1032, s1023),       -- s1023
109
    (s1320, s0321, s1032, s1023),       -- s1032
110
    (s1230, s2031, s1032, s1203),       -- s1203
111
    (s1230, s2301, s1302, s1203),       -- s1230
112
    (s1320, s3021, s1302, s1023),       -- s1302
113
    (s1320, s3201, s1302, s1203),       -- s1320
114
    (s2130, s2031, s0132, s2013),       -- s2013
115
    (s2310, s2031, s0312, s2013),       -- s2031
116
    (s2130, s2031, s1032, s2103),       -- s2103
117
    (s2130, s2301, s1302, s2103),       -- s2130      
118
    (s2310, s2301, s3012, s2013),       -- s2301
119
    (s2310, s2301, s3102, s2103),       -- s2310
120
    (s3120, s3021, s3012, s0123),       -- s3012
121
    (s3210, s3021, s3012, s0213),       -- s3021
122
    (s3120, s3021, s3102, s1023),       -- s3102
123
    (s3120, s3201, s3102, s1203),       -- s3120
124
    (s3210, s3201, s3012, s2013),       -- s3201
125
    (s3210, s3201, s3102, s2103),       -- s3210
126
    (s3210, s3201, s3102, s2103),        -- dummy
127
    (s3210, s3201, s3102, s2103),        -- dummy
128
    (s3210, s3201, s3102, s2103),        -- dummy
129
    (s3210, s3201, s3102, s2103),        -- dummy
130
    (s3210, s3201, s3102, s2103),        -- dummy
131
    (s3210, s3201, s3102, s2103),        -- dummy
132
    (s3210, s3201, s3102, s2103),        -- dummy
133
    (s3210, s3201, s3102, s2103)         -- dummy
134
  );
135
 
136
type lru3_repl_table_single_type is array(0 to 2) of integer range 0 to 2;
137
type lru3_repl_table_type is array(0 to 7) of lru3_repl_table_single_type;
138
 
139
constant lru3_repl_table : lru3_repl_table_type :=
140
  ( (0, 1, 2),      -- s012
141
    (0, 2, 2),      -- s021
142
    (1, 1, 2),      -- s102
143
    (1, 1, 2),      -- s120
144
    (2, 2, 2),      -- s201
145
    (2, 2, 2),      -- s210
146
    (2, 2, 2),      -- dummy
147
    (2, 2, 2)       -- dummy
148
  );
149
 
150
type lru4_repl_table_single_type is array(0 to 3) of integer range 0 to 3;
151
type lru4_repl_table_type is array(0 to 31) of lru4_repl_table_single_type;
152
 
153
constant lru4_repl_table : lru4_repl_table_type :=
154
  ( (0, 1, 2, 3), -- s0123
155
    (0, 1, 3, 3), -- s0132
156
    (0, 2, 2, 3), -- s0213
157
    (0, 2, 2, 3), -- s0231
158
    (0, 3, 3, 3), -- s0312
159
    (0, 3, 3, 3), -- s0321
160
    (1, 1, 2, 3), -- s1023
161
    (1, 1, 3, 3), -- s1032
162
    (1, 1, 2, 3), -- s1203
163
    (1, 1, 2, 3), -- s1230
164
    (1, 1, 3, 3), -- s1302
165
    (1, 1, 3, 3), -- s1320
166
    (2, 2, 2, 3), -- s2013
167
    (2, 2, 2, 3), -- s2031
168
    (2, 2, 2, 3), -- s2103
169
    (2, 2, 2, 3), -- s2130
170
    (2, 2, 2, 3), -- s2301
171
    (2, 2, 2, 3), -- s2310
172
    (3, 3, 3, 3), -- s3012
173
    (3, 3, 3, 3), -- s3021
174
    (3, 3, 3, 3), -- s3102
175
    (3, 3, 3, 3), -- s3120
176
    (3, 3, 3, 3), -- s3201
177
    (3, 3, 3, 3), -- s3210
178
    (0, 0, 0, 0), -- dummy
179
    (0, 0, 0, 0), -- dummy
180
    (0, 0, 0, 0), -- dummy
181
    (0, 0, 0, 0), -- dummy
182
    (0, 0, 0, 0), -- dummy
183
    (0, 0, 0, 0), -- dummy
184
    (0, 0, 0, 0), -- dummy
185
    (0, 0, 0, 0)  -- dummy
186
  );
187
 
188
function is_cacheable(haddr : std_logic_vector(31 downto 24)) return std_logic;
189
 
190
end;
191
 
192
package body macro is
193
 
194
-- generic decoder
195
 
196
function decode(v : std_logic_vector) return std_logic_vector is
197
variable res : std_logic_vector((2**v'length)-1 downto 0); --'
198
variable i : natural;
199
begin
200
  res := (others => '0');
201
-- pragma translate_off
202
  i := 0;
203
  if not is_x(v) then
204
-- pragma translate_on
205
    i := conv_integer(unsigned(v));
206
    res(i) := '1';
207
-- pragma translate_off
208
  else
209
    res := (others => 'X');
210
  end if;
211
-- pragma translate_on
212
  return(res);
213
end;
214
 
215
-- generic multiplexer
216
 
217
function genmux(s,v : std_logic_vector) return std_logic is
218
variable res : std_logic_vector(v'length-1 downto 0); --'
219
variable i : integer;
220
begin
221
  res := v;
222
-- pragma translate_off
223
  i := 0;
224
  if not is_x(s) then
225
-- pragma translate_on
226
    i := conv_integer(unsigned(s));
227
-- pragma translate_off
228
  else
229
    res := (others => 'X');
230
  end if;
231
-- pragma translate_on
232
  return(res(i));
233
end;
234
 
235
-- vector XOR
236
 
237
function xorv(d : std_logic_vector) return std_logic is
238
variable tmp : std_logic;
239
begin
240
  tmp := '0';
241
  for i in d'range loop tmp := tmp xor d(i); end loop; --'
242
  return(tmp);
243
end;
244
 
245
-- vector OR
246
 
247
function orv(d : std_logic_vector) return std_logic is
248
variable tmp : std_logic;
249
begin
250
  tmp := '0';
251
  for i in d'range loop tmp := tmp or d(i); end loop; --'
252
  return(tmp);
253
end;
254
 
255
 
256
function is_cacheable(haddr : std_logic_vector(31 downto 24)) return std_logic is
257
variable hcache : std_logic;
258
begin
259
--  hcache := '0';
260
--  for i in PROC_CACHETABLE'range loop --'
261
--    if (haddr(31 downto 32-PROC_CACHE_ADDR_MSB) >= PROC_CACHETABLE(i).firstaddr) and
262
--      (haddr(31 downto 32-PROC_CACHE_ADDR_MSB) < PROC_CACHETABLE(i).lastaddr) 
263
--    then hcache := '1';  end if;
264
--  end loop;
265
--  return(hcache);
266
 
267
  if (haddr(31) = '0') and (haddr(30 downto 29) /= "01") then hcache := '1';
268
  else hcache := '0'; end if;
269
  return(hcache);
270
end;
271
 
272
 
273
end;
274
 
275
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.