OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [sparc/] [meiko.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
 
2
----------------------------------------------------------------------------
3
--  This file is a part of the LEON VHDL model
4
--  Copyright (C) 1999  European Space Agency (ESA)
5
--
6
--  This library is free software; you can redistribute it and/or
7
--  modify it under the terms of the GNU Lesser General Public
8
--  License as published by the Free Software Foundation; either
9
--  version 2 of the License, or (at your option) any later version.
10
--
11
--  See the file COPYING.LGPL for the full details of the license.
12
 
13
 
14
-----------------------------------------------------------------------------
15
-- Entity:      meiko
16
-- File:        meiko.vhd
17
-- Description: Dummy version of Meiko FPU
18
------------------------------------------------------------------------------
19
library IEEE;
20
use IEEE.Std_Logic_1164.all;
21
use work.leon_iface.all;
22
 
23
entity fpu is
24
  port(
25
    ss_clock   : in  clk_type;
26
    FpInst     : in  std_logic_vector(9 downto 0);
27
    FpOp       : in  std_logic;
28
    FpLd       : in  std_logic;
29
    Reset      : in  std_logic;
30
    fprf_dout1 : in  std_logic_vector(63 downto 0);
31
    fprf_dout2 : in  std_logic_vector(63 downto 0);
32
    RoundingMode : in  std_logic_vector(1 downto 0);
33
    FpBusy     : out std_logic;
34
    FracResult : out std_logic_vector(54 downto 3);
35
    ExpResult  : out std_logic_vector(10 downto 0);
36
    SignResult : out std_logic;
37
    SNnotDB    : out std_logic;
38
    Excep      : out std_logic_vector(5 downto 0);
39
    ConditionCodes : out std_logic_vector(1 downto 0);
40
    ss_scan_mode : in  std_logic;
41
    fp_ctl_scan_in : in  std_logic;
42
    fp_ctl_scan_out : out std_logic
43
 
44
  );
45
end;
46
 
47
architecture rtl of fpu is
48
begin
49
  FpBusy <= '1';
50
  FracResult <= (others => '0');
51
  ExpResult <= (others => '0');
52
  Excep <= (others => '0');
53
  ConditionCodes <= (others => '0');
54
  SignResult <= '0';
55
  SNnotDB <= '0';
56
 
57
end;
58
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.