OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [sparc/] [pci_is.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
-------------------------------------------------------------------------------
2
-- Title         : PCI interface for LEON processor
3
-- Project       : pci4leon
4
-------------------------------------------------------------------------------
5
-- File          : pci.vhd
6
-- Author        : Roland Weigand  <weigand@ws.estec.esa.nl>
7
-- Created       : 2000/02/29
8
-- Last modified : 2000/02/29
9
-------------------------------------------------------------------------------
10
-- Description :
11
-- This Unit is the top level of the PCI interface. It is connected
12
-- to the peripheral bus of LEON and the DMA port.
13
-- PCI ports must be connected to the top level pads.
14
-- It includes the Phoenix/In-Silicon PCI core
15
-------------------------------------------------------------------------------
16
-- THIS IS JUST A DUMMY VERSION TO TEST THE LEON/AHB INTERFACE
17
-------------------------------------------------------------------------------
18
 
19
 
20
library IEEE;
21
use IEEE.std_logic_1164.all;
22
 
23
use work.amba.all;
24
use work.leon_iface.all;
25
 
26
entity pci_is is
27
   port (
28
      rst_n           : in  std_logic;
29
      pciresetn       : in  std_logic;
30
      app_clk         : in  clk_type;
31
      pci_clk         : in  clk_type;
32
 
33
      -- peripheral bus
34
      pbi             : in  APB_Slv_In_Type;   -- peripheral bus in
35
      pbo             : out APB_Slv_Out_Type;  -- peripheral bus out
36
      irq             : out std_logic;         -- interrupt request
37
 
38
      -- PCI-Target DMA-Port = AHB master
39
      TargetMasterOut : out ahb_mst_out_type;  -- dma port out
40
      TargetMasterIn  : in  ahb_mst_in_type;   -- dma port in
41
--    TargetAsi       : out std_logic_vector(3 downto 0);  -- sparc ASI
42
 
43
      -- PCI PORTS for top level
44
      pci_in          : in  pci_in_type;       -- PCI bus inputs
45
      pci_out         : out pci_out_type;      -- PCI bus outputs
46
 
47
      -- PCI-Initiator Word-Interface = AHB slave
48
      InitSlaveOut  : out ahb_slv_out_type;  -- Direct initiator I/F
49
      InitSlaveIn   : in  ahb_slv_in_type;   -- Direct initiator I/F
50
 
51
      -- PCI-Intitiator DMA-Port = AHB master
52
      InitMasterOut : out ahb_mst_out_type;  -- dma port out
53
      InitMasterIn  : in  ahb_mst_in_type    -- dma port in
54
--    InitAsi       : out std_logic_vector(3 downto 0);  -- sparc ASI
55
 
56
      );
57
end;
58
 
59
architecture struct of pci_is is
60
begin
61
 
62
    InitMasterOut.haddr   <= (others => '0') ;
63
    InitMasterOut.htrans  <= HTRANS_IDLE;
64
    InitMasterOut.hbusreq <= '0';
65
    InitMasterOut.hwdata  <= (others => '0');
66
    InitMasterOut.hlock   <= '0';
67
    InitMasterOut.hwrite  <= '0';
68
    InitMasterOut.hsize   <= HSIZE_WORD;
69
    InitMasterOut.hburst  <= HBURST_SINGLE;
70
    InitMasterOut.hprot   <= (others => '0');
71
 
72
    TargetMasterOut.haddr   <= (others => '0') ;
73
    TargetMasterOut.htrans  <= HTRANS_IDLE;
74
    TargetMasterOut.hbusreq <= '0';
75
    TargetMasterOut.hwdata  <= (others => '0');
76
    TargetMasterOut.hlock   <= '0';
77
    TargetMasterOut.hwrite  <= '0';
78
    TargetMasterOut.hsize   <= HSIZE_WORD;
79
    TargetMasterOut.hburst  <= HBURST_SINGLE;
80
    TargetMasterOut.hprot   <= (others => '0');
81
 
82
    InitSlaveOut.hrdata <= (others => '0');
83
    InitSlaveOut.hready <= '1';
84
    InitSlaveOut.hresp  <= HRESP_OKAY;
85
 
86
    irq <= '0';
87
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.