OpenCores
URL https://opencores.org/ocsvn/core_arm/core_arm/trunk

Subversion Repositories core_arm

[/] [core_arm/] [trunk/] [vhdl/] [tbench/] [mem/] [tbenchmem_comp.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tarookumic
-- $(lic)
2
-- $(help_generic)
3
-- $(help_local)
4
 
5
library IEEE;
6
use IEEE.std_logic_1164.all;
7
 
8
package tbenchmem_comp is
9
 
10
component mt48lc16m16a2
11
   generic (index : integer := 0;                -- Byte lane (0 - 3)
12
            fname : string := "soft/tbenchsoft/sdram.dat");     -- File to read from
13
    PORT (
14
        Dq    : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0);
15
        Addr  : IN    STD_LOGIC_VECTOR (12 DOWNTO 0);
16
        Ba    : IN    STD_LOGIC_VECTOR (1 downto 0);
17
        Clk   : IN    STD_LOGIC;
18
        Cke   : IN    STD_LOGIC;
19
        Cs_n  : IN    STD_LOGIC;
20
        Ras_n : IN    STD_LOGIC;
21
        Cas_n : IN    STD_LOGIC;
22
        We_n  : IN    STD_LOGIC;
23
        Dqm   : IN    STD_LOGIC_VECTOR (1 DOWNTO 0)
24
    );
25
END component;
26
 
27
component iram
28
      generic (index : integer := 0;             -- Byte lane (0 - 3)
29
               Abits: Positive := 10;           -- Default 10 address bits (1 Kbyte)
30
               echk : integer := 0;              -- Generate EDAC checksum
31
               tacc : integer := 10;            -- access time (ns)
32
               fname : string := "soft/tbenchsoft/ram.dat");    -- File to read from
33
      port (
34
        A : in std_logic_vector;
35
        D : inout std_logic_vector(7 downto 0);
36
        CE1 : in std_logic;
37
        WE : in std_logic;
38
        OE : in std_logic
39
 
40
); end component;
41
 
42
end tbenchmem_comp;

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.