OpenCores
URL https://opencores.org/ocsvn/cortexi/cortexi/trunk

Subversion Repositories cortexi

[/] [cortexi/] [trunk/] [CortexIinclude.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 riedelx
---------------------------------------------------------------
2
-- Engineer: Ulrich Riedel
3
--
4
-- Create Date:    21:47:11 20090508
5
-- Design Name:    
6
-- Module Name:    ARMTinclude
7
-- Project Name:   
8
-- Target Device:  
9
-- Tool versions:  
10
-- Description:
11
--
12
-- Dependencies:
13
-- 
14
-- Revision:
15
-- Revision 0.01 - File Created
16
-- Additional Comments:
17
-- 
18
---------------------------------------------------------------
19
library IEEE;
20
use IEEE.STD_LOGIC_1164.ALL;
21
use IEEE.STD_LOGIC_ARITH.ALL;
22
use IEEE.STD_LOGIC_UNSIGNED.ALL;
23
 
24
package CortexIinclude is
25
 
26
  constant SIZE_8BIT   : std_logic_vector(1 downto 0) := "00";
27
  constant SIZE_16BIT  : std_logic_vector(1 downto 0) := "01";
28
  constant SIZE_32BIT  : std_logic_vector(1 downto 0) := "10";
29
  constant SIZE_32SBIT : std_logic_vector(1 downto 0) := "11";
30
 
31
  constant BS_ROL : std_logic_vector(2 downto 0) := "000";
32
  constant BS_LSL : std_logic_vector(2 downto 0) := "001";
33
  constant BS_ROR : std_logic_vector(2 downto 0) := "010";
34
  constant BS_LSR : std_logic_vector(2 downto 0) := "011";
35
  constant BS_ASR : std_logic_vector(2 downto 0) := "100";
36
 
37
end CortexIinclude;
38
 
39
package body CortexIinclude is
40
 
41
end CortexIinclude;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.