OpenCores
URL https://opencores.org/ocsvn/cortexi/cortexi/trunk

Subversion Repositories cortexi

[/] [cortexi/] [trunk/] [Multiplier.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 riedelx
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file Multiplier.vhd when simulating
30
-- the core, Multiplier. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY Multiplier IS
44
        port (
45
        a: IN std_logic_VECTOR(31 downto 0);
46
        b: IN std_logic_VECTOR(31 downto 0);
47
        p: OUT std_logic_VECTOR(63 downto 0));
48
END Multiplier;
49
 
50
ARCHITECTURE Multiplier_a OF Multiplier IS
51
-- synthesis translate_off
52
component wrapped_Multiplier
53
        port (
54
        a: IN std_logic_VECTOR(31 downto 0);
55
        b: IN std_logic_VECTOR(31 downto 0);
56
        p: OUT std_logic_VECTOR(63 downto 0));
57
end component;
58
 
59
-- Configuration specification 
60
        for all : wrapped_Multiplier use entity XilinxCoreLib.mult_gen_v10_0(behavioral)
61
                generic map(
62
                        c_a_width => 32,
63
                        c_b_type => 1,
64
                        c_ce_overrides_sclr => 0,
65
                        c_opt_goal => 1,
66
                        c_has_sclr => 0,
67
                        c_round_pt => 0,
68
                        c_out_high => 63,
69
                        c_mult_type => 1,
70
                        c_ccm_imp => 0,
71
                        c_has_load_done => 0,
72
                        c_pipe_stages => 0,
73
                        c_has_ce => 0,
74
                        c_has_zero_detect => 0,
75
                        c_round_output => 0,
76
                        c_use_p_cascade_out => 0,
77
                        c_mem_init_prefix => "mgv10",
78
                        c_xdevicefamily => "spartan3",
79
                        c_a_type => 1,
80
                        c_out_low => 0,
81
                        c_b_width => 32,
82
                        c_b_value => "10000001");
83
-- synthesis translate_on
84
BEGIN
85
-- synthesis translate_off
86
U0 : wrapped_Multiplier
87
                port map (
88
                        a => a,
89
                        b => b,
90
                        p => p);
91
-- synthesis translate_on
92
 
93
END Multiplier_a;
94
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.