1 |
6 |
riedelx |
use STD.textio.all;
|
2 |
|
|
LIBRARY ieee;
|
3 |
|
|
use IEEE.STD_LOGIC_1164.ALL;
|
4 |
|
|
use IEEE.STD_LOGIC_ARITH.ALL;
|
5 |
|
|
use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
6 |
|
|
|
7 |
|
|
Library UNISIM;
|
8 |
|
|
use UNISIM.vcomponents.all;
|
9 |
|
|
|
10 |
|
|
ENTITY SOC IS
|
11 |
|
|
PORT(
|
12 |
|
|
clkExt : in std_logic;
|
13 |
|
|
raus : out std_logic;
|
14 |
|
|
irq : in std_logic;
|
15 |
|
|
RXD : in std_logic;
|
16 |
|
|
TXD : out std_logic
|
17 |
|
|
);
|
18 |
|
|
END SOC;
|
19 |
|
|
|
20 |
|
|
ARCHITECTURE behavior OF SOC IS
|
21 |
|
|
|
22 |
|
|
component CortexI PORT(
|
23 |
|
|
clk : in std_logic;
|
24 |
|
|
rst : in std_logic;
|
25 |
|
|
irq : in std_logic;
|
26 |
|
|
addr : out std_logic_vector(31 downto 0);
|
27 |
|
|
wrl : out std_logic;
|
28 |
|
|
wrh : out std_logic;
|
29 |
|
|
datain : in std_logic_vector(15 downto 0);
|
30 |
|
|
dataout : out std_logic_vector(15 downto 0)
|
31 |
|
|
);
|
32 |
|
|
END component;
|
33 |
|
|
|
34 |
|
|
component uart Port (
|
35 |
|
|
clk : in std_logic;
|
36 |
|
|
rst : in std_logic;
|
37 |
|
|
datain : in std_logic_vector(7 downto 0);
|
38 |
|
|
dataout : out std_logic_vector(7 downto 0);
|
39 |
|
|
addr : in std_logic_vector(2 downto 0);
|
40 |
|
|
cs : in std_logic;
|
41 |
|
|
wr : in std_logic;
|
42 |
|
|
serIn : in std_logic;
|
43 |
|
|
serOut : out std_logic
|
44 |
|
|
);
|
45 |
|
|
end component;
|
46 |
|
|
|
47 |
|
|
component TheRAM port (
|
48 |
|
|
clka : IN std_logic;
|
49 |
|
|
dina : IN std_logic_VECTOR(7 downto 0);
|
50 |
|
|
addra : IN std_logic_VECTOR(13 downto 0);
|
51 |
|
|
ena : IN std_logic;
|
52 |
|
|
wea : IN std_logic_VECTOR(0 downto 0);
|
53 |
|
|
douta : OUT std_logic_VECTOR(7 downto 0)
|
54 |
|
|
);
|
55 |
|
|
END component;
|
56 |
|
|
|
57 |
|
|
signal bufClk : std_logic;
|
58 |
|
|
signal clock : std_logic;
|
59 |
|
|
signal clockFB : std_logic;
|
60 |
|
|
signal clk0 : std_logic;
|
61 |
|
|
signal clkDiv : std_logic;
|
62 |
|
|
signal clk : std_logic;
|
63 |
|
|
signal rst : std_logic := '0';
|
64 |
|
|
signal addr : std_logic_vector(31 downto 0);
|
65 |
|
|
signal wrl : std_logic;
|
66 |
|
|
signal wrh : std_logic;
|
67 |
|
|
signal datain : std_logic_vector(15 downto 0);
|
68 |
|
|
signal dataout : std_logic_vector(15 downto 0);
|
69 |
|
|
signal cs_uart : std_logic;
|
70 |
|
|
signal cs_ram : std_logic;
|
71 |
|
|
signal cs_bram : std_logic;
|
72 |
|
|
signal cs_led : std_logic;
|
73 |
|
|
signal dataFromUart : std_logic_vector(15 downto 0);
|
74 |
|
|
signal dataFromRAM : std_logic_vector(15 downto 0);
|
75 |
|
|
signal dataFrombigRAM : std_logic_vector(15 downto 0);
|
76 |
|
|
signal wrl_ram : std_logic;
|
77 |
|
|
signal wrh_ram : std_logic;
|
78 |
|
|
signal wrl_bram : std_logic_vector(0 downto 0);
|
79 |
|
|
signal wrh_bram : std_logic_vector(0 downto 0);
|
80 |
|
|
signal clk_ram : std_logic;
|
81 |
|
|
|
82 |
|
|
signal rstCounter : std_logic_vector(15 downto 0) := x"0000";
|
83 |
|
|
|
84 |
|
|
type tRam is array (0 to 2047) of std_logic_vector(15 downto 0);
|
85 |
|
|
signal ram : tRam;
|
86 |
|
|
|
87 |
|
|
-- converts a std_logic_vector into a hex string.
|
88 |
|
|
function hstr(slv: std_logic_vector) return string is
|
89 |
|
|
variable hexlen: integer;
|
90 |
|
|
variable longslv : std_logic_vector(67 downto 0) := (others => '0');
|
91 |
|
|
variable hex : string(1 to 16);
|
92 |
|
|
variable fourbit : std_logic_vector(3 downto 0);
|
93 |
|
|
begin
|
94 |
|
|
hexlen := (slv'left+1)/4;
|
95 |
|
|
if (slv'left+1) mod 4 /= 0 then
|
96 |
|
|
hexlen := hexlen + 1;
|
97 |
|
|
end if;
|
98 |
|
|
longslv(slv'left downto 0) := slv;
|
99 |
|
|
for i in (hexlen -1) downto 0 loop
|
100 |
|
|
fourbit := longslv(((i*4)+3) downto (i*4));
|
101 |
|
|
case fourbit is
|
102 |
|
|
when "0000" => hex(hexlen -I) := '0';
|
103 |
|
|
when "0001" => hex(hexlen -I) := '1';
|
104 |
|
|
when "0010" => hex(hexlen -I) := '2';
|
105 |
|
|
when "0011" => hex(hexlen -I) := '3';
|
106 |
|
|
when "0100" => hex(hexlen -I) := '4';
|
107 |
|
|
when "0101" => hex(hexlen -I) := '5';
|
108 |
|
|
when "0110" => hex(hexlen -I) := '6';
|
109 |
|
|
when "0111" => hex(hexlen -I) := '7';
|
110 |
|
|
when "1000" => hex(hexlen -I) := '8';
|
111 |
|
|
when "1001" => hex(hexlen -I) := '9';
|
112 |
|
|
when "1010" => hex(hexlen -I) := 'A';
|
113 |
|
|
when "1011" => hex(hexlen -I) := 'B';
|
114 |
|
|
when "1100" => hex(hexlen -I) := 'C';
|
115 |
|
|
when "1101" => hex(hexlen -I) := 'D';
|
116 |
|
|
when "1110" => hex(hexlen -I) := 'E';
|
117 |
|
|
when "1111" => hex(hexlen -I) := 'F';
|
118 |
|
|
when "ZZZZ" => hex(hexlen -I) := 'z';
|
119 |
|
|
when "UUUU" => hex(hexlen -I) := 'u';
|
120 |
|
|
when "XXXX" => hex(hexlen -I) := 'x';
|
121 |
|
|
when others => hex(hexlen -I) := '?';
|
122 |
|
|
end case;
|
123 |
|
|
end loop;
|
124 |
|
|
return hex(1 to hexlen);
|
125 |
|
|
end hstr;
|
126 |
|
|
|
127 |
|
|
begin
|
128 |
|
|
|
129 |
|
|
-- process(clk, stat)
|
130 |
|
|
-- variable my_line : LINE;
|
131 |
|
|
-- FILE writeFile : text OPEN write_mode IS "SIMLOG.txt";
|
132 |
|
|
-- begin
|
133 |
|
|
-- if rising_edge(clk) and (stat = 0) then
|
134 |
|
|
-- write(my_line, string'(" 0x"));
|
135 |
|
|
-- write(my_line, hstr(addr));
|
136 |
|
|
-- write(my_line, string'(" 0x"));
|
137 |
|
|
-- write(my_line, hstr(r13));
|
138 |
|
|
-- write(my_line, string'(" 0x"));
|
139 |
|
|
-- write(my_line, hstr(r14));
|
140 |
|
|
-- write(my_line, string'(" 0x"));
|
141 |
|
|
-- write(my_line, hstr(r0));
|
142 |
|
|
-- write(my_line, string'(" 0x"));
|
143 |
|
|
-- write(my_line, hstr(r1));
|
144 |
|
|
-- write(my_line, string'(" 0x"));
|
145 |
|
|
-- write(my_line, hstr(r2));
|
146 |
|
|
-- write(my_line, string'(" 0x"));
|
147 |
|
|
-- write(my_line, hstr(r3));
|
148 |
|
|
-- write(my_line, string'(" 0x"));
|
149 |
|
|
-- write(my_line, hstr(r4));
|
150 |
|
|
-- write(my_line, string'(" 0x"));
|
151 |
|
|
-- write(my_line, hstr(r5));
|
152 |
|
|
-- write(my_line, string'(" 0x"));
|
153 |
|
|
-- write(my_line, hstr(r6));
|
154 |
|
|
-- write(my_line, string'(" 0x"));
|
155 |
|
|
-- write(my_line, hstr(r7));
|
156 |
|
|
-- writeline(writeFile, my_line);
|
157 |
|
|
-- end if;
|
158 |
|
|
-- end process;
|
159 |
|
|
|
160 |
|
|
--#################################################################
|
161 |
|
|
-- CLOCK
|
162 |
|
|
clk <= clkExt;
|
163 |
|
|
|
164 |
|
|
--#################################################################
|
165 |
|
|
-- LED
|
166 |
|
|
process(clk, rst)
|
167 |
|
|
begin
|
168 |
|
|
if rising_edge(clk) then
|
169 |
|
|
if rst = '0' then
|
170 |
|
|
raus <= '0';
|
171 |
|
|
else
|
172 |
|
|
if cs_led = '1' then
|
173 |
|
|
raus <= dataout(0);
|
174 |
|
|
end if;
|
175 |
|
|
end if;
|
176 |
|
|
end if;
|
177 |
|
|
end process;
|
178 |
|
|
|
179 |
|
|
--#################################################################
|
180 |
|
|
-- RESET
|
181 |
|
|
process(clk)
|
182 |
|
|
begin
|
183 |
|
|
if rising_edge(clk) then
|
184 |
|
|
if rst = '0' then
|
185 |
|
|
rstCounter <= rstCounter + 1;
|
186 |
|
|
if rstCounter = x"0003" then
|
187 |
|
|
rst <= '1';
|
188 |
|
|
end if;
|
189 |
|
|
end if;
|
190 |
|
|
end if;
|
191 |
|
|
end process;
|
192 |
|
|
|
193 |
|
|
--#################################################################
|
194 |
|
|
-- CPU
|
195 |
|
|
CPU : CortexI Port map(
|
196 |
|
|
clk => clk, --: in std_logic;
|
197 |
|
|
rst => rst, --: in std_logic;
|
198 |
|
|
irq => irq, --: in std_logic;
|
199 |
|
|
addr => addr, --: out std_logic_vector(31 downto 0);
|
200 |
|
|
wrl => wrl, --: out std_logic;
|
201 |
|
|
wrh => wrh, --: out std_logic;
|
202 |
|
|
datain => datain, --: in std_logic_vector(15 downto 0);
|
203 |
|
|
dataout => dataout --: out std_logic_vector(15 downto 0)
|
204 |
|
|
);
|
205 |
|
|
|
206 |
|
|
--#################################################################
|
207 |
|
|
-- address decode
|
208 |
|
|
cs_uart <= '0' when addr(31 downto 16) = x"8000" else '1'; -- x"80000000";
|
209 |
|
|
cs_ram <= '1' when addr(31 downto 12) = x"00000" else '0'; -- x"00000000";
|
210 |
|
|
cs_bram <= '1' when addr(31 downto 15) = "00000000000000001" else '0'; -- x"00008000";
|
211 |
|
|
cs_led <= '1' when addr(31 downto 16) = x"4000" else '0'; -- x"40000000";
|
212 |
|
|
|
213 |
|
|
--#################################################################
|
214 |
|
|
-- read data mux
|
215 |
|
|
datain <= dataFromRAM when cs_ram = '1' else
|
216 |
|
|
dataFromUart when cs_uart = '0' else
|
217 |
|
|
x"0000";
|
218 |
|
|
|
219 |
|
|
wrl_bram(0) <= wrl_ram;
|
220 |
|
|
wrh_bram(0) <= wrh_ram;
|
221 |
|
|
--#################################################################
|
222 |
|
|
-- RAM
|
223 |
|
|
dataFromRAM <= ram(conv_integer(addr(11 downto 1)));
|
224 |
|
|
process(clk, rst)
|
225 |
|
|
begin
|
226 |
|
|
if rst = '0' then
|
227 |
|
|
ram(0) <= x"0080"; -- 0000
|
228 |
|
|
ram(1) <= x"0000"; -- 0002
|
229 |
|
|
ram(2) <= x"0026"; -- 0004
|
230 |
|
|
ram(3) <= x"0000"; -- 0006
|
231 |
|
|
ram(4) <= x"001C"; -- 0008
|
232 |
|
|
ram(5) <= x"0000"; -- 000A
|
233 |
|
|
ram(6) <= x"BF00"; -- 000C NOP
|
234 |
|
|
ram(7) <= x"BF00"; -- 000E NOP
|
235 |
|
|
ram(8) <= x"4770"; -- 0010 BX lr
|
236 |
|
|
ram(9) <= x"BF00"; -- 0012 NOP
|
237 |
|
|
ram(10) <= x"E7FD"; -- 0014 B -3
|
238 |
|
|
ram(11) <= x"0000"; -- 0016
|
239 |
|
|
ram(12) <= x"0c21"; -- 0018
|
240 |
|
|
ram(13) <= x"0000"; -- 001A
|
241 |
|
|
ram(14) <= x"20A5"; -- 001C -- MOVS r0, #0xA5
|
242 |
|
|
ram(15) <= x"2111"; -- 001E
|
243 |
|
|
ram(16) <= x"2222"; -- 0020
|
244 |
|
|
ram(17) <= x"2333"; -- 0022
|
245 |
|
|
ram(18) <= x"4770"; -- 0024 -- BX lr
|
246 |
|
|
ram(19) <= x"2055"; -- 0026 -- MOVS r0, #0x55
|
247 |
|
|
ram(20) <= x"2166"; -- 0028
|
248 |
|
|
ram(21) <= x"2277"; -- 002A
|
249 |
|
|
ram(22) <= x"2388"; -- 002C
|
250 |
|
|
ram(23) <= x"3101"; -- 002E -- ADDS r1,#1
|
251 |
|
|
ram(24) <= x"E7FD"; -- 0030 -- B 2E
|
252 |
|
|
ram(25) <= x"0000"; -- 0032
|
253 |
|
|
ram(26) <= x"0000"; -- 0034
|
254 |
|
|
ram(27) <= x"0000"; -- 0036
|
255 |
|
|
ram(28) <= x"0c21"; -- 0038
|
256 |
|
|
ram(29) <= x"0000"; -- 003A
|
257 |
|
|
ram(30) <= x"0c21"; -- 003C
|
258 |
|
|
ram(31) <= x"0000"; -- 003E
|
259 |
|
|
ram(32) <= x"b5f4"; -- 0040
|
260 |
|
|
ram(33) <= x"b084"; -- 0042
|
261 |
|
|
ram(34) <= x"0004"; -- 0044
|
262 |
|
|
ram(35) <= x"000d"; -- 0046
|
263 |
|
|
ram(36) <= x"4668"; -- 0048
|
264 |
|
|
ram(37) <= x"c030"; -- 004A
|
265 |
|
|
ram(38) <= x"4894"; -- 004C
|
266 |
|
|
ram(39) <= x"4669"; -- 004E
|
267 |
|
|
ram(40) <= x"88c9"; -- 0050
|
268 |
|
|
ram(41) <= x"4001"; -- 0052
|
269 |
|
|
ram(42) <= x"4281"; -- 0054
|
270 |
|
|
ram(43) <= x"4668"; -- 0056
|
271 |
|
|
ram(44) <= x"88c0"; -- 0058
|
272 |
|
|
ram(45) <= x"d118"; -- 005A
|
273 |
|
|
ram(46) <= x"0700"; -- 005C
|
274 |
|
|
ram(47) <= x"d10b"; -- 005E
|
275 |
|
|
ram(48) <= x"4668"; -- 0060
|
276 |
|
|
ram(49) <= x"8880"; -- 0062
|
277 |
|
|
ram(50) <= x"2800"; -- 0064
|
278 |
|
|
ram(51) <= x"d107"; -- 0066
|
279 |
|
|
ram(52) <= x"4668"; -- 0068
|
280 |
|
|
ram(53) <= x"8840"; -- 006A
|
281 |
|
|
ram(54) <= x"2800"; -- 006C
|
282 |
|
|
ram(55) <= x"d103"; -- 006E
|
283 |
|
|
ram(56) <= x"4668"; -- 0070
|
284 |
|
|
ram(57) <= x"8800"; -- 0072
|
285 |
|
|
ram(58) <= x"2800"; -- 0074
|
286 |
|
|
ram(59) <= x"d002"; -- 0076
|
287 |
|
|
ram(60) <= x"0020"; -- 0078
|
288 |
|
|
ram(61) <= x"0029"; -- 007A
|
289 |
|
|
ram(62) <= x"e10e"; -- 007C
|
290 |
|
|
ram(63) <= x"f000"; -- 007E
|
291 |
|
|
ram(64) <= x"fdb5"; -- 0080
|
292 |
|
|
ram(65) <= x"2121"; -- 0082
|
293 |
|
|
ram(66) <= x"6001"; -- 0084
|
294 |
|
|
ram(67) <= x"2000"; -- 0086
|
295 |
|
|
ram(68) <= x"43c0"; -- 0088
|
296 |
|
|
ram(69) <= x"0841"; -- 008A
|
297 |
|
|
ram(70) <= x"e106"; -- 008C
|
298 |
|
|
ram(71) <= x"0440"; -- 008E
|
299 |
|
|
ram(72) <= x"d10b"; -- 0090
|
300 |
|
|
ram(73) <= x"4668"; -- 0092
|
301 |
|
|
ram(74) <= x"8880"; -- 0094
|
302 |
|
|
ram(75) <= x"2800"; -- 0096
|
303 |
|
|
ram(76) <= x"d107"; -- 0098
|
304 |
|
|
ram(77) <= x"4668"; -- 009A
|
305 |
|
|
ram(78) <= x"8840"; -- 009C
|
306 |
|
|
ram(79) <= x"2800"; -- 009E
|
307 |
|
|
ram(80) <= x"d103"; -- 00A0
|
308 |
|
|
ram(81) <= x"4668"; -- 00A2
|
309 |
|
|
ram(82) <= x"8800"; -- 00A4
|
310 |
|
|
ram(83) <= x"2800"; -- 00A6
|
311 |
|
|
ram(84) <= x"d026"; -- 00A8
|
312 |
|
|
ram(85) <= x"0020"; -- 00AA
|
313 |
|
|
ram(86) <= x"0029"; -- 00AC
|
314 |
|
|
ram(87) <= x"22d0"; -- 00AE
|
315 |
|
|
ram(88) <= x"0612"; -- 00B0
|
316 |
|
|
ram(89) <= x"4b7c"; -- 00B2
|
317 |
|
|
ram(90) <= x"f000"; -- 00B4
|
318 |
|
|
ram(91) <= x"fd0c"; -- 00B6
|
319 |
|
|
ram(92) <= x"d304"; -- 00B8
|
320 |
|
|
ram(93) <= x"4a7b"; -- 00BA
|
321 |
|
|
ram(94) <= x"4b7b"; -- 00BC
|
322 |
|
|
ram(95) <= x"f000"; -- 00BE
|
323 |
|
|
ram(96) <= x"fd21"; -- 00C0
|
324 |
|
|
ram(97) <= x"d805"; -- 00C2
|
325 |
|
|
ram(98) <= x"4a7a"; -- 00C4
|
326 |
|
|
ram(99) <= x"4b7b"; -- 00C6
|
327 |
|
|
ram(100) <= x"f000"; -- 00C8
|
328 |
|
|
ram(101) <= x"fa20"; -- 00CA
|
329 |
|
|
ram(102) <= x"0004"; -- 00CC
|
330 |
|
|
ram(103) <= x"000d"; -- 00CE
|
331 |
|
|
ram(104) <= x"4879"; -- 00D0
|
332 |
|
|
ram(105) <= x"497a"; -- 00D2
|
333 |
|
|
ram(106) <= x"0022"; -- 00D4
|
334 |
|
|
ram(107) <= x"002b"; -- 00D6
|
335 |
|
|
ram(108) <= x"f000"; -- 00D8
|
336 |
|
|
ram(109) <= x"faee"; -- 00DA
|
337 |
|
|
ram(110) <= x"aa02"; -- 00DC
|
338 |
|
|
ram(111) <= x"c203"; -- 00DE
|
339 |
|
|
ram(112) <= x"a802"; -- 00E0
|
340 |
|
|
ram(113) <= x"c803"; -- 00E2
|
341 |
|
|
ram(114) <= x"2200"; -- 00E4
|
342 |
|
|
ram(115) <= x"2300"; -- 00E6
|
343 |
|
|
ram(116) <= x"f000"; -- 00E8
|
344 |
|
|
ram(117) <= x"fd0c"; -- 00EA
|
345 |
|
|
ram(118) <= x"a802"; -- 00EC
|
346 |
|
|
ram(119) <= x"c80c"; -- 00EE
|
347 |
|
|
ram(120) <= x"d20d"; -- 00F0
|
348 |
|
|
ram(121) <= x"2000"; -- 00F2
|
349 |
|
|
ram(122) <= x"4972"; -- 00F4
|
350 |
|
|
ram(123) <= x"e00c"; -- 00F6
|
351 |
|
|
ram(124) <= x"9804"; -- 00F8
|
352 |
|
|
ram(125) <= x"2800"; -- 00FA
|
353 |
|
|
ram(126) <= x"d004"; -- 00FC
|
354 |
|
|
ram(127) <= x"466a"; -- 00FE
|
355 |
|
|
ram(128) <= x"2000"; -- 0100
|
356 |
|
|
ram(129) <= x"4970"; -- 0102
|
357 |
|
|
ram(130) <= x"c203"; -- 0104
|
358 |
|
|
ram(131) <= x"e0c7"; -- 0106
|
359 |
|
|
ram(132) <= x"4668"; -- 0108
|
360 |
|
|
ram(133) <= x"c030"; -- 010A
|
361 |
|
|
ram(134) <= x"e0c4"; -- 010C
|
362 |
|
|
ram(135) <= x"2000"; -- 010E
|
363 |
|
|
ram(136) <= x"496d"; -- 0110
|
364 |
|
|
ram(137) <= x"f000"; -- 0112
|
365 |
|
|
ram(138) <= x"f911"; -- 0114
|
366 |
|
|
ram(139) <= x"f000"; -- 0116
|
367 |
|
|
ram(140) <= x"fcb1"; -- 0118
|
368 |
|
|
ram(141) <= x"9904"; -- 011A
|
369 |
|
|
ram(142) <= x"0782"; -- 011C
|
370 |
|
|
ram(143) <= x"0f92"; -- 011E
|
371 |
|
|
ram(144) <= x"1889"; -- 0120
|
372 |
|
|
ram(145) <= x"9104"; -- 0122
|
373 |
|
|
ram(146) <= x"f000"; -- 0124
|
374 |
|
|
ram(147) <= x"fd08"; -- 0126
|
375 |
|
|
ram(148) <= x"0006"; -- 0128
|
376 |
|
|
ram(149) <= x"000f"; -- 012A
|
377 |
|
|
ram(150) <= x"2080"; -- 012C
|
378 |
|
|
ram(151) <= x"05c0"; -- 012E
|
379 |
|
|
ram(152) <= x"4966"; -- 0130
|
380 |
|
|
ram(153) <= x"0032"; -- 0132
|
381 |
|
|
ram(154) <= x"003b"; -- 0134
|
382 |
|
|
ram(155) <= x"f000"; -- 0136
|
383 |
|
|
ram(156) <= x"fabf"; -- 0138
|
384 |
|
|
ram(157) <= x"0002"; -- 013A
|
385 |
|
|
ram(158) <= x"000b"; -- 013C
|
386 |
|
|
ram(159) <= x"0020"; -- 013E
|
387 |
|
|
ram(160) <= x"0029"; -- 0140
|
388 |
|
|
ram(161) <= x"f000"; -- 0142
|
389 |
|
|
ram(162) <= x"f96b"; -- 0144
|
390 |
|
|
ram(163) <= x"0004"; -- 0146
|
391 |
|
|
ram(164) <= x"000d"; -- 0148
|
392 |
|
|
ram(165) <= x"0030"; -- 014A
|
393 |
|
|
ram(166) <= x"0039"; -- 014C
|
394 |
|
|
ram(167) <= x"4a60"; -- 014E
|
395 |
|
|
ram(168) <= x"4b60"; -- 0150
|
396 |
|
|
ram(169) <= x"f000"; -- 0152
|
397 |
|
|
ram(170) <= x"fab1"; -- 0154
|
398 |
|
|
ram(171) <= x"0002"; -- 0156
|
399 |
|
|
ram(172) <= x"000b"; -- 0158
|
400 |
|
|
ram(173) <= x"0020"; -- 015A
|
401 |
|
|
ram(174) <= x"0029"; -- 015C
|
402 |
|
|
ram(175) <= x"f000"; -- 015E
|
403 |
|
|
ram(176) <= x"f95d"; -- 0160
|
404 |
|
|
ram(177) <= x"0004"; -- 0162
|
405 |
|
|
ram(178) <= x"000d"; -- 0164
|
406 |
|
|
ram(179) <= x"2201"; -- 0166
|
407 |
|
|
ram(180) <= x"43d2"; -- 0168
|
408 |
|
|
ram(181) <= x"4b5b"; -- 016A
|
409 |
|
|
ram(182) <= x"f000"; -- 016C
|
410 |
|
|
ram(183) <= x"fcca"; -- 016E
|
411 |
|
|
ram(184) <= x"d80c"; -- 0170
|
412 |
|
|
ram(185) <= x"2200"; -- 0172
|
413 |
|
|
ram(186) <= x"23f9"; -- 0174
|
414 |
|
|
ram(187) <= x"059b"; -- 0176
|
415 |
|
|
ram(188) <= x"f000"; -- 0178
|
416 |
|
|
ram(189) <= x"fcaa"; -- 017A
|
417 |
|
|
ram(190) <= x"d206"; -- 017C
|
418 |
|
|
ram(191) <= x"9804"; -- 017E
|
419 |
|
|
ram(192) <= x"07c0"; -- 0180
|
420 |
|
|
ram(193) <= x"d400"; -- 0182
|
421 |
|
|
ram(194) <= x"e080"; -- 0184
|
422 |
|
|
ram(195) <= x"2400"; -- 0186
|
423 |
|
|
ram(196) <= x"4d4e"; -- 0188
|
424 |
|
|
ram(197) <= x"e07d"; -- 018A
|
425 |
|
|
ram(198) <= x"0022"; -- 018C
|
426 |
|
|
ram(199) <= x"002b"; -- 018E
|
427 |
|
|
ram(200) <= x"f000"; -- 0190
|
428 |
|
|
ram(201) <= x"fa92"; -- 0192
|
429 |
|
|
ram(202) <= x"466a"; -- 0194
|
430 |
|
|
ram(203) <= x"c203"; -- 0196
|
431 |
|
|
ram(204) <= x"9804"; -- 0198
|
432 |
|
|
ram(205) <= x"07c0"; -- 019A
|
433 |
|
|
ram(206) <= x"4668"; -- 019C
|
434 |
|
|
ram(207) <= x"d530"; -- 019E
|
435 |
|
|
ram(208) <= x"c80c"; -- 01A0
|
436 |
|
|
ram(209) <= x"484e"; -- 01A2
|
437 |
|
|
ram(210) <= x"494e"; -- 01A4
|
438 |
|
|
ram(211) <= x"f000"; -- 01A6
|
439 |
|
|
ram(212) <= x"fa87"; -- 01A8
|
440 |
|
|
ram(213) <= x"4a4e"; -- 01AA
|
441 |
|
|
ram(214) <= x"4b4e"; -- 01AC
|
442 |
|
|
ram(215) <= x"f000"; -- 01AE
|
443 |
|
|
ram(216) <= x"f8c3"; -- 01B0
|
444 |
|
|
ram(217) <= x"466a"; -- 01B2
|
445 |
|
|
ram(218) <= x"ca0c"; -- 01B4
|
446 |
|
|
ram(219) <= x"f000"; -- 01B6
|
447 |
|
|
ram(220) <= x"fa7f"; -- 01B8
|
448 |
|
|
ram(221) <= x"4a4c"; -- 01BA
|
449 |
|
|
ram(222) <= x"4b4c"; -- 01BC
|
450 |
|
|
ram(223) <= x"f000"; -- 01BE
|
451 |
|
|
ram(224) <= x"f8bb"; -- 01C0
|
452 |
|
|
ram(225) <= x"466a"; -- 01C2
|
453 |
|
|
ram(226) <= x"ca0c"; -- 01C4
|
454 |
|
|
ram(227) <= x"f000"; -- 01C6
|
455 |
|
|
ram(228) <= x"fa77"; -- 01C8
|
456 |
|
|
ram(229) <= x"4a4a"; -- 01CA
|
457 |
|
|
ram(230) <= x"4b4a"; -- 01CC
|
458 |
|
|
ram(231) <= x"f000"; -- 01CE
|
459 |
|
|
ram(232) <= x"f8b3"; -- 01D0
|
460 |
|
|
ram(233) <= x"466a"; -- 01D2
|
461 |
|
|
ram(234) <= x"ca0c"; -- 01D4
|
462 |
|
|
ram(235) <= x"f000"; -- 01D6
|
463 |
|
|
ram(236) <= x"fa6f"; -- 01D8
|
464 |
|
|
ram(237) <= x"4a48"; -- 01DA
|
465 |
|
|
ram(238) <= x"4b48"; -- 01DC
|
466 |
|
|
ram(239) <= x"f000"; -- 01DE
|
467 |
|
|
ram(240) <= x"f8ab"; -- 01E0
|
468 |
|
|
ram(241) <= x"466a"; -- 01E2
|
469 |
|
|
ram(242) <= x"ca0c"; -- 01E4
|
470 |
|
|
ram(243) <= x"f000"; -- 01E6
|
471 |
|
|
ram(244) <= x"fa67"; -- 01E8
|
472 |
|
|
ram(245) <= x"2251"; -- 01EA
|
473 |
|
|
ram(246) <= x"43d2"; -- 01EC
|
474 |
|
|
ram(247) <= x"4b45"; -- 01EE
|
475 |
|
|
ram(248) <= x"f000"; -- 01F0
|
476 |
|
|
ram(249) <= x"f8a2"; -- 01F2
|
477 |
|
|
ram(250) <= x"466a"; -- 01F4
|
478 |
|
|
ram(251) <= x"ca0c"; -- 01F6
|
479 |
|
|
ram(252) <= x"f000"; -- 01F8
|
480 |
|
|
ram(253) <= x"fa5e"; -- 01FA
|
481 |
|
|
ram(254) <= x"2200"; -- 01FC
|
482 |
|
|
ram(255) <= x"4b31"; -- 01FE
|
483 |
|
|
ram(256) <= x"e03e"; -- 0200
|
484 |
|
|
ram(257) <= x"c803"; -- 0202
|
485 |
|
|
ram(258) <= x"0022"; -- 0204
|
486 |
|
|
ram(259) <= x"002b"; -- 0206
|
487 |
|
|
ram(260) <= x"f000"; -- 0208
|
488 |
|
|
ram(261) <= x"fa56"; -- 020A
|
489 |
|
|
ram(262) <= x"0006"; -- 020C
|
490 |
|
|
ram(263) <= x"000f"; -- 020E
|
491 |
|
|
ram(264) <= x"4668"; -- 0210
|
492 |
|
|
ram(265) <= x"c803"; -- 0212
|
493 |
|
|
ram(266) <= x"4a3c"; -- 0214
|
494 |
|
|
ram(267) <= x"4b3d"; -- 0216
|
495 |
|
|
ram(268) <= x"f000"; -- 0218
|
496 |
|
|
ram(269) <= x"fa4e"; -- 021A
|
497 |
|
|
ram(270) <= x"4a3c"; -- 021C
|
498 |
|
|
ram(271) <= x"4b3d"; -- 021E
|
499 |
|
|
ram(272) <= x"f000"; -- 0220
|
500 |
|
|
ram(273) <= x"f88a"; -- 0222
|
501 |
|
|
ram(274) <= x"0002"; -- 0224
|
502 |
|
|
ram(275) <= x"000b"; -- 0226
|
503 |
|
|
ram(276) <= x"4668"; -- 0228
|
504 |
|
|
ram(277) <= x"c803"; -- 022A
|
505 |
|
|
ram(278) <= x"f000"; -- 022C
|
506 |
|
|
ram(279) <= x"fa44"; -- 022E
|
507 |
|
|
ram(280) <= x"4a39"; -- 0230
|
508 |
|
|
ram(281) <= x"4b3a"; -- 0232
|
509 |
|
|
ram(282) <= x"f000"; -- 0234
|
510 |
|
|
ram(283) <= x"f880"; -- 0236
|
511 |
|
|
ram(284) <= x"0002"; -- 0238
|
512 |
|
|
ram(285) <= x"000b"; -- 023A
|
513 |
|
|
ram(286) <= x"4668"; -- 023C
|
514 |
|
|
ram(287) <= x"c803"; -- 023E
|
515 |
|
|
ram(288) <= x"f000"; -- 0240
|
516 |
|
|
ram(289) <= x"fa3a"; -- 0242
|
517 |
|
|
ram(290) <= x"4a36"; -- 0244
|
518 |
|
|
ram(291) <= x"4b37"; -- 0246
|
519 |
|
|
ram(292) <= x"f000"; -- 0248
|
520 |
|
|
ram(293) <= x"f876"; -- 024A
|
521 |
|
|
ram(294) <= x"0002"; -- 024C
|
522 |
|
|
ram(295) <= x"000b"; -- 024E
|
523 |
|
|
ram(296) <= x"4668"; -- 0250
|
524 |
|
|
ram(297) <= x"c803"; -- 0252
|
525 |
|
|
ram(298) <= x"f000"; -- 0254
|
526 |
|
|
ram(299) <= x"fa30"; -- 0256
|
527 |
|
|
ram(300) <= x"4a33"; -- 0258
|
528 |
|
|
ram(301) <= x"4b34"; -- 025A
|
529 |
|
|
ram(302) <= x"f000"; -- 025C
|
530 |
|
|
ram(303) <= x"f86c"; -- 025E
|
531 |
|
|
ram(304) <= x"0002"; -- 0260
|
532 |
|
|
ram(305) <= x"000b"; -- 0262
|
533 |
|
|
ram(306) <= x"4668"; -- 0264
|
534 |
|
|
ram(307) <= x"c803"; -- 0266
|
535 |
|
|
ram(308) <= x"f000"; -- 0268
|
536 |
|
|
ram(309) <= x"fa26"; -- 026A
|
537 |
|
|
ram(310) <= x"4a30"; -- 026C
|
538 |
|
|
ram(311) <= x"4b31"; -- 026E
|
539 |
|
|
ram(312) <= x"f000"; -- 0270
|
540 |
|
|
ram(313) <= x"f862"; -- 0272
|
541 |
|
|
ram(314) <= x"0032"; -- 0274
|
542 |
|
|
ram(315) <= x"003b"; -- 0276
|
543 |
|
|
ram(316) <= x"f000"; -- 0278
|
544 |
|
|
ram(317) <= x"fa1e"; -- 027A
|
545 |
|
|
ram(318) <= x"0022"; -- 027C
|
546 |
|
|
ram(319) <= x"002b"; -- 027E
|
547 |
|
|
ram(320) <= x"f000"; -- 0280
|
548 |
|
|
ram(321) <= x"f85a"; -- 0282
|
549 |
|
|
ram(322) <= x"0004"; -- 0284
|
550 |
|
|
ram(323) <= x"000d"; -- 0286
|
551 |
|
|
ram(324) <= x"9804"; -- 0288
|
552 |
|
|
ram(325) <= x"0780"; -- 028A
|
553 |
|
|
ram(326) <= x"4668"; -- 028C
|
554 |
|
|
ram(327) <= x"d502"; -- 028E
|
555 |
|
|
ram(328) <= x"2180"; -- 0290
|
556 |
|
|
ram(329) <= x"0609"; -- 0292
|
557 |
|
|
ram(330) <= x"404d"; -- 0294
|
558 |
|
|
ram(331) <= x"c030"; -- 0296
|
559 |
|
|
ram(332) <= x"4668"; -- 0298
|
560 |
|
|
ram(333) <= x"c803"; -- 029A
|
561 |
|
|
ram(334) <= x"b005"; -- 029C
|
562 |
|
|
ram(335) <= x"bdf0"; -- 029E
|
563 |
|
|
ram(336) <= x"7ff0"; -- 02A0
|
564 |
|
|
ram(337) <= x"0000"; -- 02A2
|
565 |
|
|
ram(338) <= x"570f"; -- 02A4
|
566 |
|
|
ram(339) <= x"c1e4"; -- 02A6
|
567 |
|
|
ram(340) <= x"0001"; -- 02A8
|
568 |
|
|
ram(341) <= x"d000"; -- 02AA
|
569 |
|
|
ram(342) <= x"570f"; -- 02AC
|
570 |
|
|
ram(343) <= x"41e4"; -- 02AE
|
571 |
|
|
ram(344) <= x"2d18"; -- 02B0
|
572 |
|
|
ram(345) <= x"5444"; -- 02B2
|
573 |
|
|
ram(346) <= x"21fb"; -- 02B4
|
574 |
|
|
ram(347) <= x"4019"; -- 02B6
|
575 |
|
|
ram(348) <= x"c883"; -- 02B8
|
576 |
|
|
ram(349) <= x"6dc9"; -- 02BA
|
577 |
|
|
ram(350) <= x"5f30"; -- 02BC
|
578 |
|
|
ram(351) <= x"3fe4"; -- 02BE
|
579 |
|
|
ram(352) <= x"0000"; -- 02C0
|
580 |
|
|
ram(353) <= x"3fe0"; -- 02C2
|
581 |
|
|
ram(354) <= x"0000"; -- 02C4
|
582 |
|
|
ram(355) <= x"3ff0"; -- 02C6
|
583 |
|
|
ram(356) <= x"0000"; -- 02C8
|
584 |
|
|
ram(357) <= x"bfe0"; -- 02CA
|
585 |
|
|
ram(358) <= x"21fb"; -- 02CC
|
586 |
|
|
ram(359) <= x"3ff9"; -- 02CE
|
587 |
|
|
ram(360) <= x"9899"; -- 02D0
|
588 |
|
|
ram(361) <= x"1846"; -- 02D2
|
589 |
|
|
ram(362) <= x"442d"; -- 02D4
|
590 |
|
|
ram(363) <= x"3e74"; -- 02D6
|
591 |
|
|
ram(364) <= x"ffff"; -- 02D8
|
592 |
|
|
ram(365) <= x"be3f"; -- 02DA
|
593 |
|
|
ram(366) <= x"2609"; -- 02DC
|
594 |
|
|
ram(367) <= x"100a"; -- 02DE
|
595 |
|
|
ram(368) <= x"ba39"; -- 02E0
|
596 |
|
|
ram(369) <= x"3e21"; -- 02E2
|
597 |
|
|
ram(370) <= x"a83b"; -- 02E4
|
598 |
|
|
ram(371) <= x"c661"; -- 02E6
|
599 |
|
|
ram(372) <= x"7df9"; -- 02E8
|
600 |
|
|
ram(373) <= x"be92"; -- 02EA
|
601 |
|
|
ram(374) <= x"ac63"; -- 02EC
|
602 |
|
|
ram(375) <= x"9030"; -- 02EE
|
603 |
|
|
ram(376) <= x"019f"; -- 02F0
|
604 |
|
|
ram(377) <= x"3efa"; -- 02F2
|
605 |
|
|
ram(378) <= x"fbf6"; -- 02F4
|
606 |
|
|
ram(379) <= x"1651"; -- 02F6
|
607 |
|
|
ram(380) <= x"c16c"; -- 02F8
|
608 |
|
|
ram(381) <= x"bf56"; -- 02FA
|
609 |
|
|
ram(382) <= x"02b1"; -- 02FC
|
610 |
|
|
ram(383) <= x"5555"; -- 02FE
|
611 |
|
|
ram(384) <= x"5555"; -- 0300
|
612 |
|
|
ram(385) <= x"3fa5"; -- 0302
|
613 |
|
|
ram(386) <= x"ffff"; -- 0304
|
614 |
|
|
ram(387) <= x"bfdf"; -- 0306
|
615 |
|
|
ram(388) <= x"8fb0"; -- 0308
|
616 |
|
|
ram(389) <= x"c32f"; -- 030A
|
617 |
|
|
ram(390) <= x"d810"; -- 030C
|
618 |
|
|
ram(391) <= x"3de5"; -- 030E
|
619 |
|
|
ram(392) <= x"0c8f"; -- 0310
|
620 |
|
|
ram(393) <= x"a173"; -- 0312
|
621 |
|
|
ram(394) <= x"e5e1"; -- 0314
|
622 |
|
|
ram(395) <= x"be5a"; -- 0316
|
623 |
|
|
ram(396) <= x"ad4d"; -- 0318
|
624 |
|
|
ram(397) <= x"4fe4"; -- 031A
|
625 |
|
|
ram(398) <= x"1de3"; -- 031C
|
626 |
|
|
ram(399) <= x"3ec7"; -- 031E
|
627 |
|
|
ram(400) <= x"e33e"; -- 0320
|
628 |
|
|
ram(401) <= x"19b5"; -- 0322
|
629 |
|
|
ram(402) <= x"01a0"; -- 0324
|
630 |
|
|
ram(403) <= x"bf2a"; -- 0326
|
631 |
|
|
ram(404) <= x"f0fa"; -- 0328
|
632 |
|
|
ram(405) <= x"1110"; -- 032A
|
633 |
|
|
ram(406) <= x"1111"; -- 032C
|
634 |
|
|
ram(407) <= x"3f81"; -- 032E
|
635 |
|
|
ram(408) <= x"5542"; -- 0330
|
636 |
|
|
ram(409) <= x"5555"; -- 0332
|
637 |
|
|
ram(410) <= x"5555"; -- 0334
|
638 |
|
|
ram(411) <= x"bfc5"; -- 0336
|
639 |
|
|
ram(412) <= x"b4f0"; -- 0338
|
640 |
|
|
ram(413) <= x"2501"; -- 033A
|
641 |
|
|
ram(414) <= x"07ed"; -- 033C
|
642 |
|
|
ram(415) <= x"000f"; -- 033E
|
643 |
|
|
ram(416) <= x"405f"; -- 0340
|
644 |
|
|
ram(417) <= x"d44f"; -- 0342
|
645 |
|
|
ram(418) <= x"428b"; -- 0344
|
646 |
|
|
ram(419) <= x"d100"; -- 0346
|
647 |
|
|
ram(420) <= x"4282"; -- 0348
|
648 |
|
|
ram(421) <= x"d905"; -- 034A
|
649 |
|
|
ram(422) <= x"4684"; -- 034C
|
650 |
|
|
ram(423) <= x"0010"; -- 034E
|
651 |
|
|
ram(424) <= x"4662"; -- 0350
|
652 |
|
|
ram(425) <= x"468c"; -- 0352
|
653 |
|
|
ram(426) <= x"0019"; -- 0354
|
654 |
|
|
ram(427) <= x"4663"; -- 0356
|
655 |
|
|
ram(428) <= x"18df"; -- 0358
|
656 |
|
|
ram(429) <= x"184c"; -- 035A
|
657 |
|
|
ram(430) <= x"0d64"; -- 035C
|
658 |
|
|
ram(431) <= x"0d7f"; -- 035E
|
659 |
|
|
ram(432) <= x"d03a"; -- 0360
|
660 |
|
|
ram(433) <= x"1c66"; -- 0362
|
661 |
|
|
ram(434) <= x"0576"; -- 0364
|
662 |
|
|
ram(435) <= x"d037"; -- 0366
|
663 |
|
|
ram(436) <= x"1be6"; -- 0368
|
664 |
|
|
ram(437) <= x"2e35"; -- 036A
|
665 |
|
|
ram(438) <= x"dc34"; -- 036C
|
666 |
|
|
ram(439) <= x"02db"; -- 036E
|
667 |
|
|
ram(440) <= x"432b"; -- 0370
|
668 |
|
|
ram(441) <= x"0adb"; -- 0372
|
669 |
|
|
ram(442) <= x"0d0c"; -- 0374
|
670 |
|
|
ram(443) <= x"02c9"; -- 0376
|
671 |
|
|
ram(444) <= x"4329"; -- 0378
|
672 |
|
|
ram(445) <= x"12c9"; -- 037A
|
673 |
|
|
ram(446) <= x"2e20"; -- 037C
|
674 |
|
|
ram(447) <= x"db0d"; -- 037E
|
675 |
|
|
ram(448) <= x"0017"; -- 0380
|
676 |
|
|
ram(449) <= x"3e20"; -- 0382
|
677 |
|
|
ram(450) <= x"d006"; -- 0384
|
678 |
|
|
ram(451) <= x"001f"; -- 0386
|
679 |
|
|
ram(452) <= x"41f7"; -- 0388
|
680 |
|
|
ram(453) <= x"40f3"; -- 038A
|
681 |
|
|
ram(454) <= x"405f"; -- 038C
|
682 |
|
|
ram(455) <= x"2600"; -- 038E
|
683 |
|
|
ram(456) <= x"2a01"; -- 0390
|
684 |
|
|
ram(457) <= x"4177"; -- 0392
|
685 |
|
|
ram(458) <= x"18c0"; -- 0394
|
686 |
|
|
ram(459) <= x"4171"; -- 0396
|
687 |
|
|
ram(460) <= x"d20d"; -- 0398
|
688 |
|
|
ram(461) <= x"e017"; -- 039A
|
689 |
|
|
ram(462) <= x"0017"; -- 039C
|
690 |
|
|
ram(463) <= x"41f7"; -- 039E
|
691 |
|
|
ram(464) <= x"40f2"; -- 03A0
|
692 |
|
|
ram(465) <= x"4057"; -- 03A2
|
693 |
|
|
ram(466) <= x"41f3"; -- 03A4
|
694 |
|
|
ram(467) <= x"405a"; -- 03A6
|
695 |
|
|
ram(468) <= x"40b3"; -- 03A8
|
696 |
|
|
ram(469) <= x"40f3"; -- 03AA
|
697 |
|
|
ram(470) <= x"405a"; -- 03AC
|
698 |
|
|
ram(471) <= x"2600"; -- 03AE
|
699 |
|
|
ram(472) <= x"1880"; -- 03B0
|
700 |
|
|
ram(473) <= x"4159"; -- 03B2
|
701 |
|
|
ram(474) <= x"d30a"; -- 03B4
|
702 |
|
|
ram(475) <= x"1ca2"; -- 03B6
|
703 |
|
|
ram(476) <= x"0552"; -- 03B8
|
704 |
|
|
ram(477) <= x"d00f"; -- 03BA
|
705 |
|
|
ram(478) <= x"07c2"; -- 03BC
|
706 |
|
|
ram(479) <= x"2f01"; -- 03BE
|
707 |
|
|
ram(480) <= x"4172"; -- 03C0
|
708 |
|
|
ram(481) <= x"0017"; -- 03C2
|
709 |
|
|
ram(482) <= x"0840"; -- 03C4
|
710 |
|
|
ram(483) <= x"07cb"; -- 03C6
|
711 |
|
|
ram(484) <= x"4318"; -- 03C8
|
712 |
|
|
ram(485) <= x"0849"; -- 03CA
|
713 |
|
|
ram(486) <= x"1c64"; -- 03CC
|
714 |
|
|
ram(487) <= x"0524"; -- 03CE
|
715 |
|
|
ram(488) <= x"0842"; -- 03D0
|
716 |
|
|
ram(489) <= x"41af"; -- 03D2
|
717 |
|
|
ram(490) <= x"4170"; -- 03D4
|
718 |
|
|
ram(491) <= x"4161"; -- 03D6
|
719 |
|
|
ram(492) <= x"bcf0"; -- 03D8
|
720 |
|
|
ram(493) <= x"4770"; -- 03DA
|
721 |
|
|
ram(494) <= x"1c64"; -- 03DC
|
722 |
|
|
ram(495) <= x"0521"; -- 03DE
|
723 |
|
|
ram(496) <= x"2000"; -- 03E0
|
724 |
|
|
ram(497) <= x"e7f9"; -- 03E2
|
725 |
|
|
ram(498) <= x"406b"; -- 03E4
|
726 |
|
|
ram(499) <= x"e01f"; -- 03E6
|
727 |
|
|
ram(500) <= x"406b"; -- 03E8
|
728 |
|
|
ram(501) <= x"e7ab"; -- 03EA
|
729 |
|
|
ram(502) <= x"b4f0"; -- 03EC
|
730 |
|
|
ram(503) <= x"2501"; -- 03EE
|
731 |
|
|
ram(504) <= x"07ed"; -- 03F0
|
732 |
|
|
ram(505) <= x"000f"; -- 03F2
|
733 |
|
|
ram(506) <= x"405f"; -- 03F4
|
734 |
|
|
ram(507) <= x"d4f7"; -- 03F6
|
735 |
|
|
ram(508) <= x"000c"; -- 03F8
|
736 |
|
|
ram(509) <= x"1a87"; -- 03FA
|
737 |
|
|
ram(510) <= x"419c"; -- 03FC
|
738 |
|
|
ram(511) <= x"d21b"; -- 03FE
|
739 |
|
|
ram(512) <= x"1bc0"; -- 0400
|
740 |
|
|
ram(513) <= x"41a1"; -- 0402
|
741 |
|
|
ram(514) <= x"19d2"; -- 0404
|
742 |
|
|
ram(515) <= x"4163"; -- 0406
|
743 |
|
|
ram(516) <= x"e018"; -- 0408
|
744 |
|
|
ram(517) <= x"42f7"; -- 040A
|
745 |
|
|
ram(518) <= x"d1e4"; -- 040C
|
746 |
|
|
ram(519) <= x"43e9"; -- 040E
|
747 |
|
|
ram(520) <= x"e7e2"; -- 0410
|
748 |
|
|
ram(521) <= x"4224"; -- 0412
|
749 |
|
|
ram(522) <= x"d1e0"; -- 0414
|
750 |
|
|
ram(523) <= x"2000"; -- 0416
|
751 |
|
|
ram(524) <= x"2100"; -- 0418
|
752 |
|
|
ram(525) <= x"e7dd"; -- 041A
|
753 |
|
|
ram(526) <= x"b4f0"; -- 041C
|
754 |
|
|
ram(527) <= x"2501"; -- 041E
|
755 |
|
|
ram(528) <= x"07ed"; -- 0420
|
756 |
|
|
ram(529) <= x"000f"; -- 0422
|
757 |
|
|
ram(530) <= x"405f"; -- 0424
|
758 |
|
|
ram(531) <= x"d4df"; -- 0426
|
759 |
|
|
ram(532) <= x"000c"; -- 0428
|
760 |
|
|
ram(533) <= x"1a87"; -- 042A
|
761 |
|
|
ram(534) <= x"419c"; -- 042C
|
762 |
|
|
ram(535) <= x"d205"; -- 042E
|
763 |
|
|
ram(536) <= x"1bc0"; -- 0430
|
764 |
|
|
ram(537) <= x"41a1"; -- 0432
|
765 |
|
|
ram(538) <= x"19d2"; -- 0434
|
766 |
|
|
ram(539) <= x"4163"; -- 0436
|
767 |
|
|
ram(540) <= x"4069"; -- 0438
|
768 |
|
|
ram(541) <= x"406b"; -- 043A
|
769 |
|
|
ram(542) <= x"0aae"; -- 043C
|
770 |
|
|
ram(543) <= x"18df"; -- 043E
|
771 |
|
|
ram(544) <= x"184c"; -- 0440
|
772 |
|
|
ram(545) <= x"42f4"; -- 0442
|
773 |
|
|
ram(546) <= x"d2e1"; -- 0444
|
774 |
|
|
ram(547) <= x"0d64"; -- 0446
|
775 |
|
|
ram(548) <= x"0d7f"; -- 0448
|
776 |
|
|
ram(549) <= x"d0e2"; -- 044A
|
777 |
|
|
ram(550) <= x"1be6"; -- 044C
|
778 |
|
|
ram(551) <= x"2e36"; -- 044E
|
779 |
|
|
ram(552) <= x"dcc2"; -- 0450
|
780 |
|
|
ram(553) <= x"02db"; -- 0452
|
781 |
|
|
ram(554) <= x"432b"; -- 0454
|
782 |
|
|
ram(555) <= x"0adb"; -- 0456
|
783 |
|
|
ram(556) <= x"46a4"; -- 0458
|
784 |
|
|
ram(557) <= x"0d0c"; -- 045A
|
785 |
|
|
ram(558) <= x"02c9"; -- 045C
|
786 |
|
|
ram(559) <= x"4329"; -- 045E
|
787 |
|
|
ram(560) <= x"0ac9"; -- 0460
|
788 |
|
|
ram(561) <= x"2e01"; -- 0462
|
789 |
|
|
ram(562) <= x"dc24"; -- 0464
|
790 |
|
|
ram(563) <= x"d104"; -- 0466
|
791 |
|
|
ram(564) <= x"07d6"; -- 0468
|
792 |
|
|
ram(565) <= x"0852"; -- 046A
|
793 |
|
|
ram(566) <= x"07df"; -- 046C
|
794 |
|
|
ram(567) <= x"433a"; -- 046E
|
795 |
|
|
ram(568) <= x"085b"; -- 0470
|
796 |
|
|
ram(569) <= x"0aef"; -- 0472
|
797 |
|
|
ram(570) <= x"4276"; -- 0474
|
798 |
|
|
ram(571) <= x"4190"; -- 0476
|
799 |
|
|
ram(572) <= x"4199"; -- 0478
|
800 |
|
|
ram(573) <= x"d014"; -- 047A
|
801 |
|
|
ram(574) <= x"4239"; -- 047C
|
802 |
|
|
ram(575) <= x"d137"; -- 047E
|
803 |
|
|
ram(576) <= x"19b6"; -- 0480
|
804 |
|
|
ram(577) <= x"4140"; -- 0482
|
805 |
|
|
ram(578) <= x"4149"; -- 0484
|
806 |
|
|
ram(579) <= x"1c76"; -- 0486
|
807 |
|
|
ram(580) <= x"4239"; -- 0488
|
808 |
|
|
ram(581) <= x"d104"; -- 048A
|
809 |
|
|
ram(582) <= x"1c76"; -- 048C
|
810 |
|
|
ram(583) <= x"1800"; -- 048E
|
811 |
|
|
ram(584) <= x"4149"; -- 0490
|
812 |
|
|
ram(585) <= x"4239"; -- 0492
|
813 |
|
|
ram(586) <= x"d0fa"; -- 0494
|
814 |
|
|
ram(587) <= x"43b9"; -- 0496
|
815 |
|
|
ram(588) <= x"4667"; -- 0498
|
816 |
|
|
ram(589) <= x"42b7"; -- 049A
|
817 |
|
|
ram(590) <= x"d931"; -- 049C
|
818 |
|
|
ram(591) <= x"1ba4"; -- 049E
|
819 |
|
|
ram(592) <= x"0524"; -- 04A0
|
820 |
|
|
ram(593) <= x"4321"; -- 04A2
|
821 |
|
|
ram(594) <= x"e798"; -- 04A4
|
822 |
|
|
ram(595) <= x"4200"; -- 04A6
|
823 |
|
|
ram(596) <= x"d1ea"; -- 04A8
|
824 |
|
|
ram(597) <= x"4236"; -- 04AA
|
825 |
|
|
ram(598) <= x"d1e8"; -- 04AC
|
826 |
|
|
ram(599) <= x"e793"; -- 04AE
|
827 |
|
|
ram(600) <= x"2e20"; -- 04B0
|
828 |
|
|
ram(601) <= x"dd0b"; -- 04B2
|
829 |
|
|
ram(602) <= x"3e20"; -- 04B4
|
830 |
|
|
ram(603) <= x"001f"; -- 04B6
|
831 |
|
|
ram(604) <= x"40f3"; -- 04B8
|
832 |
|
|
ram(605) <= x"41f7"; -- 04BA
|
833 |
|
|
ram(606) <= x"405f"; -- 04BC
|
834 |
|
|
ram(607) <= x"2a01"; -- 04BE
|
835 |
|
|
ram(608) <= x"2200"; -- 04C0
|
836 |
|
|
ram(609) <= x"4157"; -- 04C2
|
837 |
|
|
ram(610) <= x"427e"; -- 04C4
|
838 |
|
|
ram(611) <= x"4198"; -- 04C6
|
839 |
|
|
ram(612) <= x"4191"; -- 04C8
|
840 |
|
|
ram(613) <= x"e00b"; -- 04CA
|
841 |
|
|
ram(614) <= x"0017"; -- 04CC
|
842 |
|
|
ram(615) <= x"41f7"; -- 04CE
|
843 |
|
|
ram(616) <= x"40f2"; -- 04D0
|
844 |
|
|
ram(617) <= x"4057"; -- 04D2
|
845 |
|
|
ram(618) <= x"41f3"; -- 04D4
|
846 |
|
|
ram(619) <= x"405a"; -- 04D6
|
847 |
|
|
ram(620) <= x"40b3"; -- 04D8
|
848 |
|
|
ram(621) <= x"40f3"; -- 04DA
|
849 |
|
|
ram(622) <= x"405a"; -- 04DC
|
850 |
|
|
ram(623) <= x"427e"; -- 04DE
|
851 |
|
|
ram(624) <= x"4190"; -- 04E0
|
852 |
|
|
ram(625) <= x"4199"; -- 04E2
|
853 |
|
|
ram(626) <= x"030a"; -- 04E4
|
854 |
|
|
ram(627) <= x"d203"; -- 04E6
|
855 |
|
|
ram(628) <= x"1e64"; -- 04E8
|
856 |
|
|
ram(629) <= x"19b6"; -- 04EA
|
857 |
|
|
ram(630) <= x"4140"; -- 04EC
|
858 |
|
|
ram(631) <= x"4149"; -- 04EE
|
859 |
|
|
ram(632) <= x"2700"; -- 04F0
|
860 |
|
|
ram(633) <= x"1e64"; -- 04F2
|
861 |
|
|
ram(634) <= x"0524"; -- 04F4
|
862 |
|
|
ram(635) <= x"0842"; -- 04F6
|
863 |
|
|
ram(636) <= x"41ae"; -- 04F8
|
864 |
|
|
ram(637) <= x"4178"; -- 04FA
|
865 |
|
|
ram(638) <= x"4161"; -- 04FC
|
866 |
|
|
ram(639) <= x"bcf0"; -- 04FE
|
867 |
|
|
ram(640) <= x"4770"; -- 0500
|
868 |
|
|
ram(641) <= x"0ae4"; -- 0502
|
869 |
|
|
ram(642) <= x"07e1"; -- 0504
|
870 |
|
|
ram(643) <= x"2000"; -- 0506
|
871 |
|
|
ram(644) <= x"e766"; -- 0508
|
872 |
|
|
ram(645) <= x"0000"; -- 050A
|
873 |
|
|
ram(646) <= x"b5f8"; -- 050C
|
874 |
|
|
ram(647) <= x"b40c"; -- 050E
|
875 |
|
|
ram(648) <= x"b084"; -- 0510
|
876 |
|
|
ram(649) <= x"0006"; -- 0512
|
877 |
|
|
ram(650) <= x"000f"; -- 0514
|
878 |
|
|
ram(651) <= x"4668"; -- 0516
|
879 |
|
|
ram(652) <= x"c0c0"; -- 0518
|
880 |
|
|
ram(653) <= x"4a66"; -- 051A
|
881 |
|
|
ram(654) <= x"4668"; -- 051C
|
882 |
|
|
ram(655) <= x"88c0"; -- 051E
|
883 |
|
|
ram(656) <= x"4010"; -- 0520
|
884 |
|
|
ram(657) <= x"4290"; -- 0522
|
885 |
|
|
ram(658) <= x"4668"; -- 0524
|
886 |
|
|
ram(659) <= x"88c0"; -- 0526
|
887 |
|
|
ram(660) <= x"d111"; -- 0528
|
888 |
|
|
ram(661) <= x"0700"; -- 052A
|
889 |
|
|
ram(662) <= x"d10b"; -- 052C
|
890 |
|
|
ram(663) <= x"4668"; -- 052E
|
891 |
|
|
ram(664) <= x"8880"; -- 0530
|
892 |
|
|
ram(665) <= x"2800"; -- 0532
|
893 |
|
|
ram(666) <= x"d107"; -- 0534
|
894 |
|
|
ram(667) <= x"4668"; -- 0536
|
895 |
|
|
ram(668) <= x"8840"; -- 0538
|
896 |
|
|
ram(669) <= x"2800"; -- 053A
|
897 |
|
|
ram(670) <= x"d103"; -- 053C
|
898 |
|
|
ram(671) <= x"4668"; -- 053E
|
899 |
|
|
ram(672) <= x"8800"; -- 0540
|
900 |
|
|
ram(673) <= x"2800"; -- 0542
|
901 |
|
|
ram(674) <= x"d001"; -- 0544
|
902 |
|
|
ram(675) <= x"2302"; -- 0546
|
903 |
|
|
ram(676) <= x"e013"; -- 0548
|
904 |
|
|
ram(677) <= x"2301"; -- 054A
|
905 |
|
|
ram(678) <= x"e011"; -- 054C
|
906 |
|
|
ram(679) <= x"0440"; -- 054E
|
907 |
|
|
ram(680) <= x"d10b"; -- 0550
|
908 |
|
|
ram(681) <= x"4668"; -- 0552
|
909 |
|
|
ram(682) <= x"8880"; -- 0554
|
910 |
|
|
ram(683) <= x"2800"; -- 0556
|
911 |
|
|
ram(684) <= x"d107"; -- 0558
|
912 |
|
|
ram(685) <= x"4668"; -- 055A
|
913 |
|
|
ram(686) <= x"8840"; -- 055C
|
914 |
|
|
ram(687) <= x"2800"; -- 055E
|
915 |
|
|
ram(688) <= x"d103"; -- 0560
|
916 |
|
|
ram(689) <= x"4668"; -- 0562
|
917 |
|
|
ram(690) <= x"8800"; -- 0564
|
918 |
|
|
ram(691) <= x"2800"; -- 0566
|
919 |
|
|
ram(692) <= x"d002"; -- 0568
|
920 |
|
|
ram(693) <= x"2300"; -- 056A
|
921 |
|
|
ram(694) <= x"43db"; -- 056C
|
922 |
|
|
ram(695) <= x"e000"; -- 056E
|
923 |
|
|
ram(696) <= x"2300"; -- 0570
|
924 |
|
|
ram(697) <= x"466c"; -- 0572
|
925 |
|
|
ram(698) <= x"a804"; -- 0574
|
926 |
|
|
ram(699) <= x"c803"; -- 0576
|
927 |
|
|
ram(700) <= x"c403"; -- 0578
|
928 |
|
|
ram(701) <= x"4668"; -- 057A
|
929 |
|
|
ram(702) <= x"88c0"; -- 057C
|
930 |
|
|
ram(703) <= x"4010"; -- 057E
|
931 |
|
|
ram(704) <= x"4290"; -- 0580
|
932 |
|
|
ram(705) <= x"4668"; -- 0582
|
933 |
|
|
ram(706) <= x"88c0"; -- 0584
|
934 |
|
|
ram(707) <= x"d111"; -- 0586
|
935 |
|
|
ram(708) <= x"0700"; -- 0588
|
936 |
|
|
ram(709) <= x"d10b"; -- 058A
|
937 |
|
|
ram(710) <= x"4668"; -- 058C
|
938 |
|
|
ram(711) <= x"8880"; -- 058E
|
939 |
|
|
ram(712) <= x"2800"; -- 0590
|
940 |
|
|
ram(713) <= x"d107"; -- 0592
|
941 |
|
|
ram(714) <= x"4668"; -- 0594
|
942 |
|
|
ram(715) <= x"8840"; -- 0596
|
943 |
|
|
ram(716) <= x"2800"; -- 0598
|
944 |
|
|
ram(717) <= x"d103"; -- 059A
|
945 |
|
|
ram(718) <= x"4668"; -- 059C
|
946 |
|
|
ram(719) <= x"8800"; -- 059E
|
947 |
|
|
ram(720) <= x"2800"; -- 05A0
|
948 |
|
|
ram(721) <= x"d001"; -- 05A2
|
949 |
|
|
ram(722) <= x"2002"; -- 05A4
|
950 |
|
|
ram(723) <= x"e011"; -- 05A6
|
951 |
|
|
ram(724) <= x"2001"; -- 05A8
|
952 |
|
|
ram(725) <= x"e00f"; -- 05AA
|
953 |
|
|
ram(726) <= x"0440"; -- 05AC
|
954 |
|
|
ram(727) <= x"d10b"; -- 05AE
|
955 |
|
|
ram(728) <= x"4668"; -- 05B0
|
956 |
|
|
ram(729) <= x"8880"; -- 05B2
|
957 |
|
|
ram(730) <= x"2800"; -- 05B4
|
958 |
|
|
ram(731) <= x"d107"; -- 05B6
|
959 |
|
|
ram(732) <= x"4668"; -- 05B8
|
960 |
|
|
ram(733) <= x"8840"; -- 05BA
|
961 |
|
|
ram(734) <= x"2800"; -- 05BC
|
962 |
|
|
ram(735) <= x"d103"; -- 05BE
|
963 |
|
|
ram(736) <= x"4668"; -- 05C0
|
964 |
|
|
ram(737) <= x"8800"; -- 05C2
|
965 |
|
|
ram(738) <= x"2800"; -- 05C4
|
966 |
|
|
ram(739) <= x"d001"; -- 05C6
|
967 |
|
|
ram(740) <= x"2000"; -- 05C8
|
968 |
|
|
ram(741) <= x"43c0"; -- 05CA
|
969 |
|
|
ram(742) <= x"2b00"; -- 05CC
|
970 |
|
|
ram(743) <= x"d506"; -- 05CE
|
971 |
|
|
ram(744) <= x"2800"; -- 05D0
|
972 |
|
|
ram(745) <= x"d413"; -- 05D2
|
973 |
|
|
ram(746) <= x"2802"; -- 05D4
|
974 |
|
|
ram(747) <= x"d105"; -- 05D6
|
975 |
|
|
ram(748) <= x"a804"; -- 05D8
|
976 |
|
|
ram(749) <= x"c803"; -- 05DA
|
977 |
|
|
ram(750) <= x"e067"; -- 05DC
|
978 |
|
|
ram(751) <= x"2b02"; -- 05DE
|
979 |
|
|
ram(752) <= x"d1f8"; -- 05E0
|
980 |
|
|
ram(753) <= x"e062"; -- 05E2
|
981 |
|
|
ram(754) <= x"2b01"; -- 05E4
|
982 |
|
|
ram(755) <= x"d001"; -- 05E6
|
983 |
|
|
ram(756) <= x"2800"; -- 05E8
|
984 |
|
|
ram(757) <= x"d15e"; -- 05EA
|
985 |
|
|
ram(758) <= x"f000"; -- 05EC
|
986 |
|
|
ram(759) <= x"fafe"; -- 05EE
|
987 |
|
|
ram(760) <= x"2121"; -- 05F0
|
988 |
|
|
ram(761) <= x"6001"; -- 05F2
|
989 |
|
|
ram(762) <= x"2000"; -- 05F4
|
990 |
|
|
ram(763) <= x"43c0"; -- 05F6
|
991 |
|
|
ram(764) <= x"0841"; -- 05F8
|
992 |
|
|
ram(765) <= x"e058"; -- 05FA
|
993 |
|
|
ram(766) <= x"a804"; -- 05FC
|
994 |
|
|
ram(767) <= x"c803"; -- 05FE
|
995 |
|
|
ram(768) <= x"2200"; -- 0600
|
996 |
|
|
ram(769) <= x"2300"; -- 0602
|
997 |
|
|
ram(770) <= x"f000"; -- 0604
|
998 |
|
|
ram(771) <= x"fa64"; -- 0606
|
999 |
|
|
ram(772) <= x"d206"; -- 0608
|
1000 |
|
|
ram(773) <= x"aa04"; -- 060A
|
1001 |
|
|
ram(774) <= x"a804"; -- 060C
|
1002 |
|
|
ram(775) <= x"c803"; -- 060E
|
1003 |
|
|
ram(776) <= x"2380"; -- 0610
|
1004 |
|
|
ram(777) <= x"061b"; -- 0612
|
1005 |
|
|
ram(778) <= x"4059"; -- 0614
|
1006 |
|
|
ram(779) <= x"c203"; -- 0616
|
1007 |
|
|
ram(780) <= x"2400"; -- 0618
|
1008 |
|
|
ram(781) <= x"0030"; -- 061A
|
1009 |
|
|
ram(782) <= x"0039"; -- 061C
|
1010 |
|
|
ram(783) <= x"2200"; -- 061E
|
1011 |
|
|
ram(784) <= x"2300"; -- 0620
|
1012 |
|
|
ram(785) <= x"f000"; -- 0622
|
1013 |
|
|
ram(786) <= x"fa55"; -- 0624
|
1014 |
|
|
ram(787) <= x"d204"; -- 0626
|
1015 |
|
|
ram(788) <= x"2080"; -- 0628
|
1016 |
|
|
ram(789) <= x"0600"; -- 062A
|
1017 |
|
|
ram(790) <= x"4047"; -- 062C
|
1018 |
|
|
ram(791) <= x"2501"; -- 062E
|
1019 |
|
|
ram(792) <= x"e000"; -- 0630
|
1020 |
|
|
ram(793) <= x"2500"; -- 0632
|
1021 |
|
|
ram(794) <= x"466a"; -- 0634
|
1022 |
|
|
ram(795) <= x"a804"; -- 0636
|
1023 |
|
|
ram(796) <= x"c803"; -- 0638
|
1024 |
|
|
ram(797) <= x"c203"; -- 063A
|
1025 |
|
|
ram(798) <= x"4669"; -- 063C
|
1026 |
|
|
ram(799) <= x"a802"; -- 063E
|
1027 |
|
|
ram(800) <= x"1c80"; -- 0640
|
1028 |
|
|
ram(801) <= x"f000"; -- 0642
|
1029 |
|
|
ram(802) <= x"f9df"; -- 0644
|
1030 |
|
|
ram(803) <= x"e018"; -- 0646
|
1031 |
|
|
ram(804) <= x"1e64"; -- 0648
|
1032 |
|
|
ram(805) <= x"b224"; -- 064A
|
1033 |
|
|
ram(806) <= x"2c00"; -- 064C
|
1034 |
|
|
ram(807) <= x"d414"; -- 064E
|
1035 |
|
|
ram(808) <= x"466a"; -- 0650
|
1036 |
|
|
ram(809) <= x"a804"; -- 0652
|
1037 |
|
|
ram(810) <= x"c803"; -- 0654
|
1038 |
|
|
ram(811) <= x"c203"; -- 0656
|
1039 |
|
|
ram(812) <= x"0021"; -- 0658
|
1040 |
|
|
ram(813) <= x"4668"; -- 065A
|
1041 |
|
|
ram(814) <= x"f000"; -- 065C
|
1042 |
|
|
ram(815) <= x"f8ee"; -- 065E
|
1043 |
|
|
ram(816) <= x"0030"; -- 0660
|
1044 |
|
|
ram(817) <= x"0039"; -- 0662
|
1045 |
|
|
ram(818) <= x"466a"; -- 0664
|
1046 |
|
|
ram(819) <= x"ca0c"; -- 0666
|
1047 |
|
|
ram(820) <= x"f000"; -- 0668
|
1048 |
|
|
ram(821) <= x"fa4c"; -- 066A
|
1049 |
|
|
ram(822) <= x"d8ec"; -- 066C
|
1050 |
|
|
ram(823) <= x"466a"; -- 066E
|
1051 |
|
|
ram(824) <= x"ca0c"; -- 0670
|
1052 |
|
|
ram(825) <= x"f7ff"; -- 0672
|
1053 |
|
|
ram(826) <= x"fed3"; -- 0674
|
1054 |
|
|
ram(827) <= x"0006"; -- 0676
|
1055 |
|
|
ram(828) <= x"000f"; -- 0678
|
1056 |
|
|
ram(829) <= x"4668"; -- 067A
|
1057 |
|
|
ram(830) <= x"c0c0"; -- 067C
|
1058 |
|
|
ram(831) <= x"2c00"; -- 067E
|
1059 |
|
|
ram(832) <= x"d40e"; -- 0680
|
1060 |
|
|
ram(833) <= x"4669"; -- 0682
|
1061 |
|
|
ram(834) <= x"f000"; -- 0684
|
1062 |
|
|
ram(835) <= x"f9be"; -- 0686
|
1063 |
|
|
ram(836) <= x"2800"; -- 0688
|
1064 |
|
|
ram(837) <= x"d009"; -- 068A
|
1065 |
|
|
ram(838) <= x"4668"; -- 068C
|
1066 |
|
|
ram(839) <= x"8900"; -- 068E
|
1067 |
|
|
ram(840) <= x"4669"; -- 0690
|
1068 |
|
|
ram(841) <= x"8949"; -- 0692
|
1069 |
|
|
ram(842) <= x"1a44"; -- 0694
|
1070 |
|
|
ram(843) <= x"b224"; -- 0696
|
1071 |
|
|
ram(844) <= x"2c00"; -- 0698
|
1072 |
|
|
ram(845) <= x"d401"; -- 069A
|
1073 |
|
|
ram(846) <= x"d5d8"; -- 069C
|
1074 |
|
|
ram(847) <= x"e7ec"; -- 069E
|
1075 |
|
|
ram(848) <= x"2d00"; -- 06A0
|
1076 |
|
|
ram(849) <= x"d002"; -- 06A2
|
1077 |
|
|
ram(850) <= x"2080"; -- 06A4
|
1078 |
|
|
ram(851) <= x"0600"; -- 06A6
|
1079 |
|
|
ram(852) <= x"4047"; -- 06A8
|
1080 |
|
|
ram(853) <= x"0030"; -- 06AA
|
1081 |
|
|
ram(854) <= x"0039"; -- 06AC
|
1082 |
|
|
ram(855) <= x"b007"; -- 06AE
|
1083 |
|
|
ram(856) <= x"bdf0"; -- 06B0
|
1084 |
|
|
ram(857) <= x"bf00"; -- 06B2
|
1085 |
|
|
ram(858) <= x"7ff0"; -- 06B4
|
1086 |
|
|
ram(859) <= x"0000"; -- 06B6
|
1087 |
|
|
ram(860) <= x"b5f4"; -- 06B8
|
1088 |
|
|
ram(861) <= x"000e"; -- 06BA
|
1089 |
|
|
ram(862) <= x"405e"; -- 06BC
|
1090 |
|
|
ram(863) <= x"2501"; -- 06BE
|
1091 |
|
|
ram(864) <= x"07ed"; -- 06C0
|
1092 |
|
|
ram(865) <= x"402e"; -- 06C2
|
1093 |
|
|
ram(866) <= x"46b4"; -- 06C4
|
1094 |
|
|
ram(867) <= x"0aae"; -- 06C6
|
1095 |
|
|
ram(868) <= x"18df"; -- 06C8
|
1096 |
|
|
ram(869) <= x"184c"; -- 06CA
|
1097 |
|
|
ram(870) <= x"42f4"; -- 06CC
|
1098 |
|
|
ram(871) <= x"d208"; -- 06CE
|
1099 |
|
|
ram(872) <= x"42f7"; -- 06D0
|
1100 |
|
|
ram(873) <= x"d20e"; -- 06D2
|
1101 |
|
|
ram(874) <= x"0d64"; -- 06D4
|
1102 |
|
|
ram(875) <= x"d001"; -- 06D6
|
1103 |
|
|
ram(876) <= x"0d7f"; -- 06D8
|
1104 |
|
|
ram(877) <= x"d112"; -- 06DA
|
1105 |
|
|
ram(878) <= x"2000"; -- 06DC
|
1106 |
|
|
ram(879) <= x"4661"; -- 06DE
|
1107 |
|
|
ram(880) <= x"bdf4"; -- 06E0
|
1108 |
|
|
ram(881) <= x"d105"; -- 06E2
|
1109 |
|
|
ram(882) <= x"42f7"; -- 06E4
|
1110 |
|
|
ram(883) <= x"d801"; -- 06E6
|
1111 |
|
|
ram(884) <= x"0d7f"; -- 06E8
|
1112 |
|
|
ram(885) <= x"d105"; -- 06EA
|
1113 |
|
|
ram(886) <= x"2000"; -- 06EC
|
1114 |
|
|
ram(887) <= x"43c1"; -- 06EE
|
1115 |
|
|
ram(888) <= x"bdf4"; -- 06F0
|
1116 |
|
|
ram(889) <= x"d1fb"; -- 06F2
|
1117 |
|
|
ram(890) <= x"0d64"; -- 06F4
|
1118 |
|
|
ram(891) <= x"d0f9"; -- 06F6
|
1119 |
|
|
ram(892) <= x"2000"; -- 06F8
|
1120 |
|
|
ram(893) <= x"43c1"; -- 06FA
|
1121 |
|
|
ram(894) <= x"0549"; -- 06FC
|
1122 |
|
|
ram(895) <= x"0849"; -- 06FE
|
1123 |
|
|
ram(896) <= x"e08f"; -- 0700
|
1124 |
|
|
ram(897) <= x"19e4"; -- 0702
|
1125 |
|
|
ram(898) <= x"02c9"; -- 0704
|
1126 |
|
|
ram(899) <= x"02db"; -- 0706
|
1127 |
|
|
ram(900) <= x"4329"; -- 0708
|
1128 |
|
|
ram(901) <= x"432b"; -- 070A
|
1129 |
|
|
ram(902) <= x"0adb"; -- 070C
|
1130 |
|
|
ram(903) <= x"0d46"; -- 070E
|
1131 |
|
|
ram(904) <= x"02c0"; -- 0710
|
1132 |
|
|
ram(905) <= x"4331"; -- 0712
|
1133 |
|
|
ram(906) <= x"468e"; -- 0714
|
1134 |
|
|
ram(907) <= x"b430"; -- 0716
|
1135 |
|
|
ram(908) <= x"b287"; -- 0718
|
1136 |
|
|
ram(909) <= x"b295"; -- 071A
|
1137 |
|
|
ram(910) <= x"437d"; -- 071C
|
1138 |
|
|
ram(911) <= x"0c2e"; -- 071E
|
1139 |
|
|
ram(912) <= x"b2ad"; -- 0720
|
1140 |
|
|
ram(913) <= x"0c14"; -- 0722
|
1141 |
|
|
ram(914) <= x"437c"; -- 0724
|
1142 |
|
|
ram(915) <= x"19a4"; -- 0726
|
1143 |
|
|
ram(916) <= x"0c26"; -- 0728
|
1144 |
|
|
ram(917) <= x"0424"; -- 072A
|
1145 |
|
|
ram(918) <= x"4325"; -- 072C
|
1146 |
|
|
ram(919) <= x"b29c"; -- 072E
|
1147 |
|
|
ram(920) <= x"437c"; -- 0730
|
1148 |
|
|
ram(921) <= x"19a4"; -- 0732
|
1149 |
|
|
ram(922) <= x"0c19"; -- 0734
|
1150 |
|
|
ram(923) <= x"4379"; -- 0736
|
1151 |
|
|
ram(924) <= x"0c27"; -- 0738
|
1152 |
|
|
ram(925) <= x"19c9"; -- 073A
|
1153 |
|
|
ram(926) <= x"b2a4"; -- 073C
|
1154 |
|
|
ram(927) <= x"040f"; -- 073E
|
1155 |
|
|
ram(928) <= x"433c"; -- 0740
|
1156 |
|
|
ram(929) <= x"0c09"; -- 0742
|
1157 |
|
|
ram(930) <= x"0c00"; -- 0744
|
1158 |
|
|
ram(931) <= x"b297"; -- 0746
|
1159 |
|
|
ram(932) <= x"4347"; -- 0748
|
1160 |
|
|
ram(933) <= x"0c2e"; -- 074A
|
1161 |
|
|
ram(934) <= x"19be"; -- 074C
|
1162 |
|
|
ram(935) <= x"4335"; -- 074E
|
1163 |
|
|
ram(936) <= x"b2ad"; -- 0750
|
1164 |
|
|
ram(937) <= x"0c17"; -- 0752
|
1165 |
|
|
ram(938) <= x"4347"; -- 0754
|
1166 |
|
|
ram(939) <= x"0c36"; -- 0756
|
1167 |
|
|
ram(940) <= x"19bf"; -- 0758
|
1168 |
|
|
ram(941) <= x"b2a6"; -- 075A
|
1169 |
|
|
ram(942) <= x"19bf"; -- 075C
|
1170 |
|
|
ram(943) <= x"043e"; -- 075E
|
1171 |
|
|
ram(944) <= x"4335"; -- 0760
|
1172 |
|
|
ram(945) <= x"0c24"; -- 0762
|
1173 |
|
|
ram(946) <= x"0c3e"; -- 0764
|
1174 |
|
|
ram(947) <= x"1936"; -- 0766
|
1175 |
|
|
ram(948) <= x"b29f"; -- 0768
|
1176 |
|
|
ram(949) <= x"4347"; -- 076A
|
1177 |
|
|
ram(950) <= x"19bf"; -- 076C
|
1178 |
|
|
ram(951) <= x"b2bc"; -- 076E
|
1179 |
|
|
ram(952) <= x"0c3e"; -- 0770
|
1180 |
|
|
ram(953) <= x"1989"; -- 0772
|
1181 |
|
|
ram(954) <= x"0c1f"; -- 0774
|
1182 |
|
|
ram(955) <= x"4347"; -- 0776
|
1183 |
|
|
ram(956) <= x"187f"; -- 0778
|
1184 |
|
|
ram(957) <= x"043e"; -- 077A
|
1185 |
|
|
ram(958) <= x"4334"; -- 077C
|
1186 |
|
|
ram(959) <= x"0c39"; -- 077E
|
1187 |
|
|
ram(960) <= x"4670"; -- 0780
|
1188 |
|
|
ram(961) <= x"b280"; -- 0782
|
1189 |
|
|
ram(962) <= x"b297"; -- 0784
|
1190 |
|
|
ram(963) <= x"4347"; -- 0786
|
1191 |
|
|
ram(964) <= x"0c2e"; -- 0788
|
1192 |
|
|
ram(965) <= x"19be"; -- 078A
|
1193 |
|
|
ram(966) <= x"4335"; -- 078C
|
1194 |
|
|
ram(967) <= x"b2ad"; -- 078E
|
1195 |
|
|
ram(968) <= x"0c17"; -- 0790
|
1196 |
|
|
ram(969) <= x"4347"; -- 0792
|
1197 |
|
|
ram(970) <= x"0c36"; -- 0794
|
1198 |
|
|
ram(971) <= x"19bf"; -- 0796
|
1199 |
|
|
ram(972) <= x"b2a6"; -- 0798
|
1200 |
|
|
ram(973) <= x"19bf"; -- 079A
|
1201 |
|
|
ram(974) <= x"043e"; -- 079C
|
1202 |
|
|
ram(975) <= x"4335"; -- 079E
|
1203 |
|
|
ram(976) <= x"0c24"; -- 07A0
|
1204 |
|
|
ram(977) <= x"0c3e"; -- 07A2
|
1205 |
|
|
ram(978) <= x"1936"; -- 07A4
|
1206 |
|
|
ram(979) <= x"b29f"; -- 07A6
|
1207 |
|
|
ram(980) <= x"4347"; -- 07A8
|
1208 |
|
|
ram(981) <= x"19bf"; -- 07AA
|
1209 |
|
|
ram(982) <= x"b2bc"; -- 07AC
|
1210 |
|
|
ram(983) <= x"0c3e"; -- 07AE
|
1211 |
|
|
ram(984) <= x"1989"; -- 07B0
|
1212 |
|
|
ram(985) <= x"0c1f"; -- 07B2
|
1213 |
|
|
ram(986) <= x"4347"; -- 07B4
|
1214 |
|
|
ram(987) <= x"187f"; -- 07B6
|
1215 |
|
|
ram(988) <= x"043e"; -- 07B8
|
1216 |
|
|
ram(989) <= x"4334"; -- 07BA
|
1217 |
|
|
ram(990) <= x"0c39"; -- 07BC
|
1218 |
|
|
ram(991) <= x"4677"; -- 07BE
|
1219 |
|
|
ram(992) <= x"0c3f"; -- 07C0
|
1220 |
|
|
ram(993) <= x"b290"; -- 07C2
|
1221 |
|
|
ram(994) <= x"4378"; -- 07C4
|
1222 |
|
|
ram(995) <= x"0c2e"; -- 07C6
|
1223 |
|
|
ram(996) <= x"1980"; -- 07C8
|
1224 |
|
|
ram(997) <= x"0406"; -- 07CA
|
1225 |
|
|
ram(998) <= x"b2ad"; -- 07CC
|
1226 |
|
|
ram(999) <= x"432e"; -- 07CE
|
1227 |
|
|
ram(1000) <= x"0c05"; -- 07D0
|
1228 |
|
|
ram(1001) <= x"b2a0"; -- 07D2
|
1229 |
|
|
ram(1002) <= x"182d"; -- 07D4
|
1230 |
|
|
ram(1003) <= x"0c12"; -- 07D6
|
1231 |
|
|
ram(1004) <= x"437a"; -- 07D8
|
1232 |
|
|
ram(1005) <= x"1950"; -- 07DA
|
1233 |
|
|
ram(1006) <= x"0c02"; -- 07DC
|
1234 |
|
|
ram(1007) <= x"b280"; -- 07DE
|
1235 |
|
|
ram(1008) <= x"0c24"; -- 07E0
|
1236 |
|
|
ram(1009) <= x"18a4"; -- 07E2
|
1237 |
|
|
ram(1010) <= x"b29a"; -- 07E4
|
1238 |
|
|
ram(1011) <= x"437a"; -- 07E6
|
1239 |
|
|
ram(1012) <= x"1912"; -- 07E8
|
1240 |
|
|
ram(1013) <= x"0414"; -- 07EA
|
1241 |
|
|
ram(1014) <= x"4320"; -- 07EC
|
1242 |
|
|
ram(1015) <= x"0c12"; -- 07EE
|
1243 |
|
|
ram(1016) <= x"1889"; -- 07F0
|
1244 |
|
|
ram(1017) <= x"0c1b"; -- 07F2
|
1245 |
|
|
ram(1018) <= x"437b"; -- 07F4
|
1246 |
|
|
ram(1019) <= x"18c9"; -- 07F6
|
1247 |
|
|
ram(1020) <= x"bc30"; -- 07F8
|
1248 |
|
|
ram(1021) <= x"0aef"; -- 07FA
|
1249 |
|
|
ram(1022) <= x"4239"; -- 07FC
|
1250 |
|
|
ram(1023) <= x"d103"; -- 07FE
|
1251 |
|
|
ram(1024) <= x"19b6"; -- 0800
|
1252 |
|
|
ram(1025) <= x"4140"; -- 0802
|
1253 |
|
|
ram(1026) <= x"4149"; -- 0804
|
1254 |
|
|
ram(1027) <= x"1e64"; -- 0806
|
1255 |
|
|
ram(1028) <= x"126f"; -- 0808
|
1256 |
|
|
ram(1029) <= x"0dbf"; -- 080A
|
1257 |
|
|
ram(1030) <= x"1be4"; -- 080C
|
1258 |
|
|
ram(1031) <= x"db0b"; -- 080E
|
1259 |
|
|
ram(1032) <= x"19ff"; -- 0810
|
1260 |
|
|
ram(1033) <= x"42bc"; -- 0812
|
1261 |
|
|
ram(1034) <= x"da11"; -- 0814
|
1262 |
|
|
ram(1035) <= x"0524"; -- 0816
|
1263 |
|
|
ram(1036) <= x"2700"; -- 0818
|
1264 |
|
|
ram(1037) <= x"0842"; -- 081A
|
1265 |
|
|
ram(1038) <= x"41ae"; -- 081C
|
1266 |
|
|
ram(1039) <= x"4178"; -- 081E
|
1267 |
|
|
ram(1040) <= x"4161"; -- 0820
|
1268 |
|
|
ram(1041) <= x"4666"; -- 0822
|
1269 |
|
|
ram(1042) <= x"4331"; -- 0824
|
1270 |
|
|
ram(1043) <= x"bdf4"; -- 0826
|
1271 |
|
|
ram(1044) <= x"1c64"; -- 0828
|
1272 |
|
|
ram(1045) <= x"d105"; -- 082A
|
1273 |
|
|
ram(1046) <= x"1c40"; -- 082C
|
1274 |
|
|
ram(1047) <= x"4161"; -- 082E
|
1275 |
|
|
ram(1048) <= x"02cf"; -- 0830
|
1276 |
|
|
ram(1049) <= x"d301"; -- 0832
|
1277 |
|
|
ram(1050) <= x"0849"; -- 0834
|
1278 |
|
|
ram(1051) <= x"e7f4"; -- 0836
|
1279 |
|
|
ram(1052) <= x"e750"; -- 0838
|
1280 |
|
|
ram(1053) <= x"e75d"; -- 083A
|
1281 |
|
|
ram(1054) <= x"b570"; -- 083C
|
1282 |
|
|
ram(1055) <= x"0004"; -- 083E
|
1283 |
|
|
ram(1056) <= x"000d"; -- 0840
|
1284 |
|
|
ram(1057) <= x"88e0"; -- 0842
|
1285 |
|
|
ram(1058) <= x"493d"; -- 0844
|
1286 |
|
|
ram(1059) <= x"4001"; -- 0846
|
1287 |
|
|
ram(1060) <= x"1108"; -- 0848
|
1288 |
|
|
ram(1061) <= x"4e3d"; -- 084A
|
1289 |
|
|
ram(1062) <= x"42b0"; -- 084C
|
1290 |
|
|
ram(1063) <= x"d110"; -- 084E
|
1291 |
|
|
ram(1064) <= x"88e0"; -- 0850
|
1292 |
|
|
ram(1065) <= x"210f"; -- 0852
|
1293 |
|
|
ram(1066) <= x"4208"; -- 0854
|
1294 |
|
|
ram(1067) <= x"d108"; -- 0856
|
1295 |
|
|
ram(1068) <= x"88a0"; -- 0858
|
1296 |
|
|
ram(1069) <= x"2800"; -- 085A
|
1297 |
|
|
ram(1070) <= x"d105"; -- 085C
|
1298 |
|
|
ram(1071) <= x"8860"; -- 085E
|
1299 |
|
|
ram(1072) <= x"2800"; -- 0860
|
1300 |
|
|
ram(1073) <= x"d102"; -- 0862
|
1301 |
|
|
ram(1074) <= x"8820"; -- 0864
|
1302 |
|
|
ram(1075) <= x"2800"; -- 0866
|
1303 |
|
|
ram(1076) <= x"d001"; -- 0868
|
1304 |
|
|
ram(1077) <= x"2002"; -- 086A
|
1305 |
|
|
ram(1078) <= x"bd70"; -- 086C
|
1306 |
|
|
ram(1079) <= x"2001"; -- 086E
|
1307 |
|
|
ram(1080) <= x"bd70"; -- 0870
|
1308 |
|
|
ram(1081) <= x"2800"; -- 0872
|
1309 |
|
|
ram(1082) <= x"d106"; -- 0874
|
1310 |
|
|
ram(1083) <= x"0020"; -- 0876
|
1311 |
|
|
ram(1084) <= x"f000"; -- 0878
|
1312 |
|
|
ram(1085) <= x"f868"; -- 087A
|
1313 |
|
|
ram(1086) <= x"2801"; -- 087C
|
1314 |
|
|
ram(1087) <= x"db01"; -- 087E
|
1315 |
|
|
ram(1088) <= x"2000"; -- 0880
|
1316 |
|
|
ram(1089) <= x"bd70"; -- 0882
|
1317 |
|
|
ram(1090) <= x"182d"; -- 0884
|
1318 |
|
|
ram(1091) <= x"42b5"; -- 0886
|
1319 |
|
|
ram(1092) <= x"db0a"; -- 0888
|
1320 |
|
|
ram(1093) <= x"88e0"; -- 088A
|
1321 |
|
|
ram(1094) <= x"0400"; -- 088C
|
1322 |
|
|
ram(1095) <= x"d502"; -- 088E
|
1323 |
|
|
ram(1096) <= x"2000"; -- 0890
|
1324 |
|
|
ram(1097) <= x"492c"; -- 0892
|
1325 |
|
|
ram(1098) <= x"e001"; -- 0894
|
1326 |
|
|
ram(1099) <= x"2000"; -- 0896
|
1327 |
|
|
ram(1100) <= x"0531"; -- 0898
|
1328 |
|
|
ram(1101) <= x"c403"; -- 089A
|
1329 |
|
|
ram(1102) <= x"2001"; -- 089C
|
1330 |
|
|
ram(1103) <= x"bd70"; -- 089E
|
1331 |
|
|
ram(1104) <= x"2d01"; -- 08A0
|
1332 |
|
|
ram(1105) <= x"db04"; -- 08A2
|
1333 |
|
|
ram(1106) <= x"88e0"; -- 08A4
|
1334 |
|
|
ram(1107) <= x"4928"; -- 08A6
|
1335 |
|
|
ram(1108) <= x"4001"; -- 08A8
|
1336 |
|
|
ram(1109) <= x"0128"; -- 08AA
|
1337 |
|
|
ram(1110) <= x"e040"; -- 08AC
|
1338 |
|
|
ram(1111) <= x"88e1"; -- 08AE
|
1339 |
|
|
ram(1112) <= x"2080"; -- 08B0
|
1340 |
|
|
ram(1113) <= x"0200"; -- 08B2
|
1341 |
|
|
ram(1114) <= x"4008"; -- 08B4
|
1342 |
|
|
ram(1115) <= x"0709"; -- 08B6
|
1343 |
|
|
ram(1116) <= x"0f09"; -- 08B8
|
1344 |
|
|
ram(1117) <= x"2210"; -- 08BA
|
1345 |
|
|
ram(1118) <= x"430a"; -- 08BC
|
1346 |
|
|
ram(1119) <= x"80e2"; -- 08BE
|
1347 |
|
|
ram(1120) <= x"1e6d"; -- 08C0
|
1348 |
|
|
ram(1121) <= x"2133"; -- 08C2
|
1349 |
|
|
ram(1122) <= x"43c9"; -- 08C4
|
1350 |
|
|
ram(1123) <= x"428d"; -- 08C6
|
1351 |
|
|
ram(1124) <= x"da05"; -- 08C8
|
1352 |
|
|
ram(1125) <= x"80e0"; -- 08CA
|
1353 |
|
|
ram(1126) <= x"2000"; -- 08CC
|
1354 |
|
|
ram(1127) <= x"80a0"; -- 08CE
|
1355 |
|
|
ram(1128) <= x"8060"; -- 08D0
|
1356 |
|
|
ram(1129) <= x"8020"; -- 08D2
|
1357 |
|
|
ram(1130) <= x"bd70"; -- 08D4
|
1358 |
|
|
ram(1131) <= x"b22d"; -- 08D6
|
1359 |
|
|
ram(1132) <= x"210e"; -- 08D8
|
1360 |
|
|
ram(1133) <= x"43c9"; -- 08DA
|
1361 |
|
|
ram(1134) <= x"428d"; -- 08DC
|
1362 |
|
|
ram(1135) <= x"da0b"; -- 08DE
|
1363 |
|
|
ram(1136) <= x"8862"; -- 08E0
|
1364 |
|
|
ram(1137) <= x"8022"; -- 08E2
|
1365 |
|
|
ram(1138) <= x"88a2"; -- 08E4
|
1366 |
|
|
ram(1139) <= x"8062"; -- 08E6
|
1367 |
|
|
ram(1140) <= x"88e2"; -- 08E8
|
1368 |
|
|
ram(1141) <= x"80a2"; -- 08EA
|
1369 |
|
|
ram(1142) <= x"2200"; -- 08EC
|
1370 |
|
|
ram(1143) <= x"80e2"; -- 08EE
|
1371 |
|
|
ram(1144) <= x"3510"; -- 08F0
|
1372 |
|
|
ram(1145) <= x"b22d"; -- 08F2
|
1373 |
|
|
ram(1146) <= x"428d"; -- 08F4
|
1374 |
|
|
ram(1147) <= x"dbf3"; -- 08F6
|
1375 |
|
|
ram(1148) <= x"4269"; -- 08F8
|
1376 |
|
|
ram(1149) <= x"b209"; -- 08FA
|
1377 |
|
|
ram(1150) <= x"2900"; -- 08FC
|
1378 |
|
|
ram(1151) <= x"d016"; -- 08FE
|
1379 |
|
|
ram(1152) <= x"2210"; -- 0900
|
1380 |
|
|
ram(1153) <= x"1a52"; -- 0902
|
1381 |
|
|
ram(1154) <= x"8823"; -- 0904
|
1382 |
|
|
ram(1155) <= x"410b"; -- 0906
|
1383 |
|
|
ram(1156) <= x"8865"; -- 0908
|
1384 |
|
|
ram(1157) <= x"4095"; -- 090A
|
1385 |
|
|
ram(1158) <= x"431d"; -- 090C
|
1386 |
|
|
ram(1159) <= x"8025"; -- 090E
|
1387 |
|
|
ram(1160) <= x"8863"; -- 0910
|
1388 |
|
|
ram(1161) <= x"410b"; -- 0912
|
1389 |
|
|
ram(1162) <= x"88a5"; -- 0914
|
1390 |
|
|
ram(1163) <= x"4095"; -- 0916
|
1391 |
|
|
ram(1164) <= x"431d"; -- 0918
|
1392 |
|
|
ram(1165) <= x"8065"; -- 091A
|
1393 |
|
|
ram(1166) <= x"88a3"; -- 091C
|
1394 |
|
|
ram(1167) <= x"410b"; -- 091E
|
1395 |
|
|
ram(1168) <= x"88e5"; -- 0920
|
1396 |
|
|
ram(1169) <= x"4095"; -- 0922
|
1397 |
|
|
ram(1170) <= x"431d"; -- 0924
|
1398 |
|
|
ram(1171) <= x"80a5"; -- 0926
|
1399 |
|
|
ram(1172) <= x"88e2"; -- 0928
|
1400 |
|
|
ram(1173) <= x"40ca"; -- 092A
|
1401 |
|
|
ram(1174) <= x"80e2"; -- 092C
|
1402 |
|
|
ram(1175) <= x"88e1"; -- 092E
|
1403 |
|
|
ram(1176) <= x"4308"; -- 0930
|
1404 |
|
|
ram(1177) <= x"80e0"; -- 0932
|
1405 |
|
|
ram(1178) <= x"2000"; -- 0934
|
1406 |
|
|
ram(1179) <= x"43c0"; -- 0936
|
1407 |
|
|
ram(1180) <= x"bd70"; -- 0938
|
1408 |
|
|
ram(1181) <= x"bf00"; -- 093A
|
1409 |
|
|
ram(1182) <= x"7ff0"; -- 093C
|
1410 |
|
|
ram(1183) <= x"0000"; -- 093E
|
1411 |
|
|
ram(1184) <= x"07ff"; -- 0940
|
1412 |
|
|
ram(1185) <= x"0000"; -- 0942
|
1413 |
|
|
ram(1186) <= x"0000"; -- 0944
|
1414 |
|
|
ram(1187) <= x"fff0"; -- 0946
|
1415 |
|
|
ram(1188) <= x"800f"; -- 0948
|
1416 |
|
|
ram(1189) <= x"0000"; -- 094A
|
1417 |
|
|
ram(1190) <= x"b4f0"; -- 094C
|
1418 |
|
|
ram(1191) <= x"0001"; -- 094E
|
1419 |
|
|
ram(1192) <= x"88c8"; -- 0950
|
1420 |
|
|
ram(1193) <= x"2280"; -- 0952
|
1421 |
|
|
ram(1194) <= x"0212"; -- 0954
|
1422 |
|
|
ram(1195) <= x"4002"; -- 0956
|
1423 |
|
|
ram(1196) <= x"2001"; -- 0958
|
1424 |
|
|
ram(1197) <= x"230f"; -- 095A
|
1425 |
|
|
ram(1198) <= x"88cc"; -- 095C
|
1426 |
|
|
ram(1199) <= x"401c"; -- 095E
|
1427 |
|
|
ram(1200) <= x"80cc"; -- 0960
|
1428 |
|
|
ram(1201) <= x"d114"; -- 0962
|
1429 |
|
|
ram(1202) <= x"888c"; -- 0964
|
1430 |
|
|
ram(1203) <= x"2c00"; -- 0966
|
1431 |
|
|
ram(1204) <= x"d105"; -- 0968
|
1432 |
|
|
ram(1205) <= x"884c"; -- 096A
|
1433 |
|
|
ram(1206) <= x"2c00"; -- 096C
|
1434 |
|
|
ram(1207) <= x"d102"; -- 096E
|
1435 |
|
|
ram(1208) <= x"880c"; -- 0970
|
1436 |
|
|
ram(1209) <= x"2c00"; -- 0972
|
1437 |
|
|
ram(1210) <= x"d041"; -- 0974
|
1438 |
|
|
ram(1211) <= x"888c"; -- 0976
|
1439 |
|
|
ram(1212) <= x"80cc"; -- 0978
|
1440 |
|
|
ram(1213) <= x"884d"; -- 097A
|
1441 |
|
|
ram(1214) <= x"808d"; -- 097C
|
1442 |
|
|
ram(1215) <= x"880d"; -- 097E
|
1443 |
|
|
ram(1216) <= x"804d"; -- 0980
|
1444 |
|
|
ram(1217) <= x"2500"; -- 0982
|
1445 |
|
|
ram(1218) <= x"800d"; -- 0984
|
1446 |
|
|
ram(1219) <= x"3810"; -- 0986
|
1447 |
|
|
ram(1220) <= x"b200"; -- 0988
|
1448 |
|
|
ram(1221) <= x"2c00"; -- 098A
|
1449 |
|
|
ram(1222) <= x"d0f3"; -- 098C
|
1450 |
|
|
ram(1223) <= x"88cc"; -- 098E
|
1451 |
|
|
ram(1224) <= x"2c10"; -- 0990
|
1452 |
|
|
ram(1225) <= x"d216"; -- 0992
|
1453 |
|
|
ram(1226) <= x"888c"; -- 0994
|
1454 |
|
|
ram(1227) <= x"88cd"; -- 0996
|
1455 |
|
|
ram(1228) <= x"006e"; -- 0998
|
1456 |
|
|
ram(1229) <= x"0be5"; -- 099A
|
1457 |
|
|
ram(1230) <= x"4335"; -- 099C
|
1458 |
|
|
ram(1231) <= x"80cd"; -- 099E
|
1459 |
|
|
ram(1232) <= x"884e"; -- 09A0
|
1460 |
|
|
ram(1233) <= x"0064"; -- 09A2
|
1461 |
|
|
ram(1234) <= x"0bf7"; -- 09A4
|
1462 |
|
|
ram(1235) <= x"4327"; -- 09A6
|
1463 |
|
|
ram(1236) <= x"808f"; -- 09A8
|
1464 |
|
|
ram(1237) <= x"880c"; -- 09AA
|
1465 |
|
|
ram(1238) <= x"0076"; -- 09AC
|
1466 |
|
|
ram(1239) <= x"0be7"; -- 09AE
|
1467 |
|
|
ram(1240) <= x"4337"; -- 09B0
|
1468 |
|
|
ram(1241) <= x"804f"; -- 09B2
|
1469 |
|
|
ram(1242) <= x"0064"; -- 09B4
|
1470 |
|
|
ram(1243) <= x"800c"; -- 09B6
|
1471 |
|
|
ram(1244) <= x"1e40"; -- 09B8
|
1472 |
|
|
ram(1245) <= x"b200"; -- 09BA
|
1473 |
|
|
ram(1246) <= x"b2ad"; -- 09BC
|
1474 |
|
|
ram(1247) <= x"2d10"; -- 09BE
|
1475 |
|
|
ram(1248) <= x"d3e8"; -- 09C0
|
1476 |
|
|
ram(1249) <= x"88cc"; -- 09C2
|
1477 |
|
|
ram(1250) <= x"2c20"; -- 09C4
|
1478 |
|
|
ram(1251) <= x"d315"; -- 09C6
|
1479 |
|
|
ram(1252) <= x"884c"; -- 09C8
|
1480 |
|
|
ram(1253) <= x"880d"; -- 09CA
|
1481 |
|
|
ram(1254) <= x"086d"; -- 09CC
|
1482 |
|
|
ram(1255) <= x"03e6"; -- 09CE
|
1483 |
|
|
ram(1256) <= x"432e"; -- 09D0
|
1484 |
|
|
ram(1257) <= x"800e"; -- 09D2
|
1485 |
|
|
ram(1258) <= x"888d"; -- 09D4
|
1486 |
|
|
ram(1259) <= x"0864"; -- 09D6
|
1487 |
|
|
ram(1260) <= x"03ee"; -- 09D8
|
1488 |
|
|
ram(1261) <= x"4326"; -- 09DA
|
1489 |
|
|
ram(1262) <= x"804e"; -- 09DC
|
1490 |
|
|
ram(1263) <= x"88cc"; -- 09DE
|
1491 |
|
|
ram(1264) <= x"086d"; -- 09E0
|
1492 |
|
|
ram(1265) <= x"03e6"; -- 09E2
|
1493 |
|
|
ram(1266) <= x"432e"; -- 09E4
|
1494 |
|
|
ram(1267) <= x"808e"; -- 09E6
|
1495 |
|
|
ram(1268) <= x"0864"; -- 09E8
|
1496 |
|
|
ram(1269) <= x"80cc"; -- 09EA
|
1497 |
|
|
ram(1270) <= x"1c40"; -- 09EC
|
1498 |
|
|
ram(1271) <= x"b200"; -- 09EE
|
1499 |
|
|
ram(1272) <= x"2c20"; -- 09F0
|
1500 |
|
|
ram(1273) <= x"d2e9"; -- 09F2
|
1501 |
|
|
ram(1274) <= x"88cc"; -- 09F4
|
1502 |
|
|
ram(1275) <= x"4023"; -- 09F6
|
1503 |
|
|
ram(1276) <= x"80cb"; -- 09F8
|
1504 |
|
|
ram(1277) <= x"88cb"; -- 09FA
|
1505 |
|
|
ram(1278) <= x"431a"; -- 09FC
|
1506 |
|
|
ram(1279) <= x"80ca"; -- 09FE
|
1507 |
|
|
ram(1280) <= x"bcf0"; -- 0A00
|
1508 |
|
|
ram(1281) <= x"4770"; -- 0A02
|
1509 |
|
|
ram(1282) <= x"b538"; -- 0A04
|
1510 |
|
|
ram(1283) <= x"0005"; -- 0A06
|
1511 |
|
|
ram(1284) <= x"000c"; -- 0A08
|
1512 |
|
|
ram(1285) <= x"88e0"; -- 0A0A
|
1513 |
|
|
ram(1286) <= x"4916"; -- 0A0C
|
1514 |
|
|
ram(1287) <= x"4001"; -- 0A0E
|
1515 |
|
|
ram(1288) <= x"1108"; -- 0A10
|
1516 |
|
|
ram(1289) <= x"4916"; -- 0A12
|
1517 |
|
|
ram(1290) <= x"4288"; -- 0A14
|
1518 |
|
|
ram(1291) <= x"d111"; -- 0A16
|
1519 |
|
|
ram(1292) <= x"2000"; -- 0A18
|
1520 |
|
|
ram(1293) <= x"8028"; -- 0A1A
|
1521 |
|
|
ram(1294) <= x"88e0"; -- 0A1C
|
1522 |
|
|
ram(1295) <= x"0700"; -- 0A1E
|
1523 |
|
|
ram(1296) <= x"d108"; -- 0A20
|
1524 |
|
|
ram(1297) <= x"88a0"; -- 0A22
|
1525 |
|
|
ram(1298) <= x"2800"; -- 0A24
|
1526 |
|
|
ram(1299) <= x"d105"; -- 0A26
|
1527 |
|
|
ram(1300) <= x"8860"; -- 0A28
|
1528 |
|
|
ram(1301) <= x"2800"; -- 0A2A
|
1529 |
|
|
ram(1302) <= x"d102"; -- 0A2C
|
1530 |
|
|
ram(1303) <= x"8820"; -- 0A2E
|
1531 |
|
|
ram(1304) <= x"2800"; -- 0A30
|
1532 |
|
|
ram(1305) <= x"d001"; -- 0A32
|
1533 |
|
|
ram(1306) <= x"2002"; -- 0A34
|
1534 |
|
|
ram(1307) <= x"bd32"; -- 0A36
|
1535 |
|
|
ram(1308) <= x"2001"; -- 0A38
|
1536 |
|
|
ram(1309) <= x"bd32"; -- 0A3A
|
1537 |
|
|
ram(1310) <= x"2801"; -- 0A3C
|
1538 |
|
|
ram(1311) <= x"da04"; -- 0A3E
|
1539 |
|
|
ram(1312) <= x"0020"; -- 0A40
|
1540 |
|
|
ram(1313) <= x"f7ff"; -- 0A42
|
1541 |
|
|
ram(1314) <= x"ff83"; -- 0A44
|
1542 |
|
|
ram(1315) <= x"2801"; -- 0A46
|
1543 |
|
|
ram(1316) <= x"da0b"; -- 0A48
|
1544 |
|
|
ram(1317) <= x"88e1"; -- 0A4A
|
1545 |
|
|
ram(1318) <= x"4a08"; -- 0A4C
|
1546 |
|
|
ram(1319) <= x"400a"; -- 0A4E
|
1547 |
|
|
ram(1320) <= x"4908"; -- 0A50
|
1548 |
|
|
ram(1321) <= x"4311"; -- 0A52
|
1549 |
|
|
ram(1322) <= x"80e1"; -- 0A54
|
1550 |
|
|
ram(1323) <= x"4908"; -- 0A56
|
1551 |
|
|
ram(1324) <= x"1a40"; -- 0A58
|
1552 |
|
|
ram(1325) <= x"8028"; -- 0A5A
|
1553 |
|
|
ram(1326) <= x"2000"; -- 0A5C
|
1554 |
|
|
ram(1327) <= x"43c0"; -- 0A5E
|
1555 |
|
|
ram(1328) <= x"bd32"; -- 0A60
|
1556 |
|
|
ram(1329) <= x"2000"; -- 0A62
|
1557 |
|
|
ram(1330) <= x"8028"; -- 0A64
|
1558 |
|
|
ram(1331) <= x"bd32"; -- 0A66
|
1559 |
|
|
ram(1332) <= x"7ff0"; -- 0A68
|
1560 |
|
|
ram(1333) <= x"0000"; -- 0A6A
|
1561 |
|
|
ram(1334) <= x"07ff"; -- 0A6C
|
1562 |
|
|
ram(1335) <= x"0000"; -- 0A6E
|
1563 |
|
|
ram(1336) <= x"800f"; -- 0A70
|
1564 |
|
|
ram(1337) <= x"0000"; -- 0A72
|
1565 |
|
|
ram(1338) <= x"3fe0"; -- 0A74
|
1566 |
|
|
ram(1339) <= x"0000"; -- 0A76
|
1567 |
|
|
ram(1340) <= x"03fe"; -- 0A78
|
1568 |
|
|
ram(1341) <= x"0000"; -- 0A7A
|
1569 |
|
|
ram(1342) <= x"46f4"; -- 0A7C
|
1570 |
|
|
ram(1343) <= x"184a"; -- 0A7E
|
1571 |
|
|
ram(1344) <= x"d205"; -- 0A80
|
1572 |
|
|
ram(1345) <= x"f000"; -- 0A82
|
1573 |
|
|
ram(1346) <= x"f80f"; -- 0A84
|
1574 |
|
|
ram(1347) <= x"d501"; -- 0A86
|
1575 |
|
|
ram(1348) <= x"1780"; -- 0A88
|
1576 |
|
|
ram(1349) <= x"0840"; -- 0A8A
|
1577 |
|
|
ram(1350) <= x"4760"; -- 0A8C
|
1578 |
|
|
ram(1351) <= x"f000"; -- 0A8E
|
1579 |
|
|
ram(1352) <= x"f809"; -- 0A90
|
1580 |
|
|
ram(1353) <= x"2101"; -- 0A92
|
1581 |
|
|
ram(1354) <= x"07c9"; -- 0A94
|
1582 |
|
|
ram(1355) <= x"4288"; -- 0A96
|
1583 |
|
|
ram(1356) <= x"d900"; -- 0A98
|
1584 |
|
|
ram(1357) <= x"0008"; -- 0A9A
|
1585 |
|
|
ram(1358) <= x"4240"; -- 0A9C
|
1586 |
|
|
ram(1359) <= x"4760"; -- 0A9E
|
1587 |
|
|
ram(1360) <= x"184a"; -- 0AA0
|
1588 |
|
|
ram(1361) <= x"d212"; -- 0AA2
|
1589 |
|
|
ram(1362) <= x"0d40"; -- 0AA4
|
1590 |
|
|
ram(1363) <= x"02c9"; -- 0AA6
|
1591 |
|
|
ram(1364) <= x"4308"; -- 0AA8
|
1592 |
|
|
ram(1365) <= x"2101"; -- 0AAA
|
1593 |
|
|
ram(1366) <= x"07c9"; -- 0AAC
|
1594 |
|
|
ram(1367) <= x"4308"; -- 0AAE
|
1595 |
|
|
ram(1368) <= x"0d52"; -- 0AB0
|
1596 |
|
|
ram(1369) <= x"2140"; -- 0AB2
|
1597 |
|
|
ram(1370) <= x"0109"; -- 0AB4
|
1598 |
|
|
ram(1371) <= x"1a52"; -- 0AB6
|
1599 |
|
|
ram(1372) <= x"1c52"; -- 0AB8
|
1600 |
|
|
ram(1373) <= x"d406"; -- 0ABA
|
1601 |
|
|
ram(1374) <= x"4252"; -- 0ABC
|
1602 |
|
|
ram(1375) <= x"321f"; -- 0ABE
|
1603 |
|
|
ram(1376) <= x"d401"; -- 0AC0
|
1604 |
|
|
ram(1377) <= x"40d0"; -- 0AC2
|
1605 |
|
|
ram(1378) <= x"4770"; -- 0AC4
|
1606 |
|
|
ram(1379) <= x"17c0"; -- 0AC6
|
1607 |
|
|
ram(1380) <= x"4770"; -- 0AC8
|
1608 |
|
|
ram(1381) <= x"2000"; -- 0ACA
|
1609 |
|
|
ram(1382) <= x"4770"; -- 0ACC
|
1610 |
|
|
ram(1383) <= x"0000"; -- 0ACE
|
1611 |
|
|
ram(1384) <= x"b430"; -- 0AD0
|
1612 |
|
|
ram(1385) <= x"2401"; -- 0AD2
|
1613 |
|
|
ram(1386) <= x"0564"; -- 0AD4
|
1614 |
|
|
ram(1387) <= x"004d"; -- 0AD6
|
1615 |
|
|
ram(1388) <= x"42ec"; -- 0AD8
|
1616 |
|
|
ram(1389) <= x"d80b"; -- 0ADA
|
1617 |
|
|
ram(1390) <= x"005d"; -- 0ADC
|
1618 |
|
|
ram(1391) <= x"42ec"; -- 0ADE
|
1619 |
|
|
ram(1392) <= x"d808"; -- 0AE0
|
1620 |
|
|
ram(1393) <= x"000c"; -- 0AE2
|
1621 |
|
|
ram(1394) <= x"431c"; -- 0AE4
|
1622 |
|
|
ram(1395) <= x"0064"; -- 0AE6
|
1623 |
|
|
ram(1396) <= x"4304"; -- 0AE8
|
1624 |
|
|
ram(1397) <= x"4314"; -- 0AEA
|
1625 |
|
|
ram(1398) <= x"d204"; -- 0AEC
|
1626 |
|
|
ram(1399) <= x"4299"; -- 0AEE
|
1627 |
|
|
ram(1400) <= x"d100"; -- 0AF0
|
1628 |
|
|
ram(1401) <= x"4290"; -- 0AF2
|
1629 |
|
|
ram(1402) <= x"bc30"; -- 0AF4
|
1630 |
|
|
ram(1403) <= x"4770"; -- 0AF6
|
1631 |
|
|
ram(1404) <= x"d0fc"; -- 0AF8
|
1632 |
|
|
ram(1405) <= x"428b"; -- 0AFA
|
1633 |
|
|
ram(1406) <= x"d1fa"; -- 0AFC
|
1634 |
|
|
ram(1407) <= x"4282"; -- 0AFE
|
1635 |
|
|
ram(1408) <= x"e7f8"; -- 0B00
|
1636 |
|
|
ram(1409) <= x"0000"; -- 0B02
|
1637 |
|
|
ram(1410) <= x"b430"; -- 0B04
|
1638 |
|
|
ram(1411) <= x"2401"; -- 0B06
|
1639 |
|
|
ram(1412) <= x"0564"; -- 0B08
|
1640 |
|
|
ram(1413) <= x"004d"; -- 0B0A
|
1641 |
|
|
ram(1414) <= x"42ec"; -- 0B0C
|
1642 |
|
|
ram(1415) <= x"d80b"; -- 0B0E
|
1643 |
|
|
ram(1416) <= x"005d"; -- 0B10
|
1644 |
|
|
ram(1417) <= x"42ec"; -- 0B12
|
1645 |
|
|
ram(1418) <= x"d808"; -- 0B14
|
1646 |
|
|
ram(1419) <= x"000c"; -- 0B16
|
1647 |
|
|
ram(1420) <= x"431c"; -- 0B18
|
1648 |
|
|
ram(1421) <= x"0064"; -- 0B1A
|
1649 |
|
|
ram(1422) <= x"4304"; -- 0B1C
|
1650 |
|
|
ram(1423) <= x"4314"; -- 0B1E
|
1651 |
|
|
ram(1424) <= x"d204"; -- 0B20
|
1652 |
|
|
ram(1425) <= x"428b"; -- 0B22
|
1653 |
|
|
ram(1426) <= x"d100"; -- 0B24
|
1654 |
|
|
ram(1427) <= x"4282"; -- 0B26
|
1655 |
|
|
ram(1428) <= x"bc30"; -- 0B28
|
1656 |
|
|
ram(1429) <= x"4770"; -- 0B2A
|
1657 |
|
|
ram(1430) <= x"d0fc"; -- 0B2C
|
1658 |
|
|
ram(1431) <= x"4299"; -- 0B2E
|
1659 |
|
|
ram(1432) <= x"d1fa"; -- 0B30
|
1660 |
|
|
ram(1433) <= x"4290"; -- 0B32
|
1661 |
|
|
ram(1434) <= x"e7f8"; -- 0B34
|
1662 |
|
|
ram(1435) <= x"0000"; -- 0B36
|
1663 |
|
|
ram(1436) <= x"0001"; -- 0B38
|
1664 |
|
|
ram(1437) <= x"d508"; -- 0B3A
|
1665 |
|
|
ram(1438) <= x"46f4"; -- 0B3C
|
1666 |
|
|
ram(1439) <= x"4240"; -- 0B3E
|
1667 |
|
|
ram(1440) <= x"f000"; -- 0B40
|
1668 |
|
|
ram(1441) <= x"f805"; -- 0B42
|
1669 |
|
|
ram(1442) <= x"2201"; -- 0B44
|
1670 |
|
|
ram(1443) <= x"07d2"; -- 0B46
|
1671 |
|
|
ram(1444) <= x"4311"; -- 0B48
|
1672 |
|
|
ram(1445) <= x"4760"; -- 0B4A
|
1673 |
|
|
ram(1446) <= x"0001"; -- 0B4C
|
1674 |
|
|
ram(1447) <= x"2242"; -- 0B4E
|
1675 |
|
|
ram(1448) <= x"0112"; -- 0B50
|
1676 |
|
|
ram(1449) <= x"1ed2"; -- 0B52
|
1677 |
|
|
ram(1450) <= x"4200"; -- 0B54
|
1678 |
|
|
ram(1451) <= x"d007"; -- 0B56
|
1679 |
|
|
ram(1452) <= x"d402"; -- 0B58
|
1680 |
|
|
ram(1453) <= x"1e52"; -- 0B5A
|
1681 |
|
|
ram(1454) <= x"1800"; -- 0B5C
|
1682 |
|
|
ram(1455) <= x"d5fc"; -- 0B5E
|
1683 |
|
|
ram(1456) <= x"0ac1"; -- 0B60
|
1684 |
|
|
ram(1457) <= x"0540"; -- 0B62
|
1685 |
|
|
ram(1458) <= x"0512"; -- 0B64
|
1686 |
|
|
ram(1459) <= x"1889"; -- 0B66
|
1687 |
|
|
ram(1460) <= x"4770"; -- 0B68
|
1688 |
|
|
ram(1461) <= x"0000"; -- 0B6A
|
1689 |
|
|
ram(1462) <= x"0bb9"; -- 0B6C
|
1690 |
|
|
ram(1463) <= x"0000"; -- 0B6E
|
1691 |
|
|
ram(1464) <= x"0008"; -- 0B70
|
1692 |
|
|
ram(1465) <= x"0000"; -- 0B72
|
1693 |
|
|
ram(1466) <= x"0d80"; -- 0B74
|
1694 |
|
|
ram(1467) <= x"0000"; -- 0B76
|
1695 |
|
|
ram(1468) <= x"0000"; -- 0B78
|
1696 |
|
|
ram(1469) <= x"0000"; -- 0B7A
|
1697 |
|
|
ram(1470) <= x"b510"; -- 0B7C
|
1698 |
|
|
ram(1471) <= x"4805"; -- 0B7E
|
1699 |
|
|
ram(1472) <= x"4c05"; -- 0B80
|
1700 |
|
|
ram(1473) <= x"42a0"; -- 0B82
|
1701 |
|
|
ram(1474) <= x"d004"; -- 0B84
|
1702 |
|
|
ram(1475) <= x"6801"; -- 0B86
|
1703 |
|
|
ram(1476) <= x"1d00"; -- 0B88
|
1704 |
|
|
ram(1477) <= x"4788"; -- 0B8A
|
1705 |
|
|
ram(1478) <= x"42a0"; -- 0B8C
|
1706 |
|
|
ram(1479) <= x"d1fa"; -- 0B8E
|
1707 |
|
|
ram(1480) <= x"bd10"; -- 0B90
|
1708 |
|
|
ram(1481) <= x"bf00"; -- 0B92
|
1709 |
|
|
ram(1482) <= x"0b6c"; -- 0B94
|
1710 |
|
|
ram(1483) <= x"0000"; -- 0B96
|
1711 |
|
|
ram(1484) <= x"0b7c"; -- 0B98
|
1712 |
|
|
ram(1485) <= x"0000"; -- 0B9A
|
1713 |
|
|
ram(1486) <= x"b580"; -- 0B9C
|
1714 |
|
|
ram(1487) <= x"2200"; -- 0B9E
|
1715 |
|
|
ram(1488) <= x"4803"; -- 0BA0
|
1716 |
|
|
ram(1489) <= x"4904"; -- 0BA2
|
1717 |
|
|
ram(1490) <= x"f7ff"; -- 0BA4
|
1718 |
|
|
ram(1491) <= x"fa4c"; -- 0BA6
|
1719 |
|
|
ram(1492) <= x"2220"; -- 0BA8
|
1720 |
|
|
ram(1493) <= x"c203"; -- 0BAA
|
1721 |
|
|
ram(1494) <= x"4400"; -- 0BAC
|
1722 |
|
|
ram(1495) <= x"e7fd"; -- 0BAE
|
1723 |
|
|
ram(1496) <= x"3333"; -- 0BB0
|
1724 |
|
|
ram(1497) <= x"3333"; -- 0BB2
|
1725 |
|
|
ram(1498) <= x"3333"; -- 0BB4
|
1726 |
|
|
ram(1499) <= x"3ff3"; -- 0BB6
|
1727 |
|
|
ram(1500) <= x"2100"; -- 0BB8
|
1728 |
|
|
ram(1501) <= x"e005"; -- 0BBA
|
1729 |
|
|
ram(1502) <= x"6802"; -- 0BBC
|
1730 |
|
|
ram(1503) <= x"1d00"; -- 0BBE
|
1731 |
|
|
ram(1504) <= x"6011"; -- 0BC0
|
1732 |
|
|
ram(1505) <= x"1d12"; -- 0BC2
|
1733 |
|
|
ram(1506) <= x"1f1b"; -- 0BC4
|
1734 |
|
|
ram(1507) <= x"d1fb"; -- 0BC6
|
1735 |
|
|
ram(1508) <= x"6803"; -- 0BC8
|
1736 |
|
|
ram(1509) <= x"1d00"; -- 0BCA
|
1737 |
|
|
ram(1510) <= x"2b00"; -- 0BCC
|
1738 |
|
|
ram(1511) <= x"d1f5"; -- 0BCE
|
1739 |
|
|
ram(1512) <= x"4770"; -- 0BD0
|
1740 |
|
|
ram(1513) <= x"0000"; -- 0BD2
|
1741 |
|
|
ram(1514) <= x"f000"; -- 0BD4
|
1742 |
|
|
ram(1515) <= x"f822"; -- 0BD6
|
1743 |
|
|
ram(1516) <= x"2800"; -- 0BD8
|
1744 |
|
|
ram(1517) <= x"d001"; -- 0BDA
|
1745 |
|
|
ram(1518) <= x"f7ff"; -- 0BDC
|
1746 |
|
|
ram(1519) <= x"ffce"; -- 0BDE
|
1747 |
|
|
ram(1520) <= x"2000"; -- 0BE0
|
1748 |
|
|
ram(1521) <= x"f7ff"; -- 0BE2
|
1749 |
|
|
ram(1522) <= x"ffdb"; -- 0BE4
|
1750 |
|
|
ram(1523) <= x"f000"; -- 0BE6
|
1751 |
|
|
ram(1524) <= x"f816"; -- 0BE8
|
1752 |
|
|
ram(1525) <= x"0000"; -- 0BEA
|
1753 |
|
|
ram(1526) <= x"b510"; -- 0BEC
|
1754 |
|
|
ram(1527) <= x"4c03"; -- 0BEE
|
1755 |
|
|
ram(1528) <= x"6820"; -- 0BF0
|
1756 |
|
|
ram(1529) <= x"0001"; -- 0BF2
|
1757 |
|
|
ram(1530) <= x"d000"; -- 0BF4
|
1758 |
|
|
ram(1531) <= x"4780"; -- 0BF6
|
1759 |
|
|
ram(1532) <= x"1d20"; -- 0BF8
|
1760 |
|
|
ram(1533) <= x"bd10"; -- 0BFA
|
1761 |
|
|
ram(1534) <= x"0d80"; -- 0BFC
|
1762 |
|
|
ram(1535) <= x"0000"; -- 0BFE
|
1763 |
|
|
ram(1536) <= x"4901"; -- 0C00
|
1764 |
|
|
ram(1537) <= x"2018"; -- 0C02
|
1765 |
|
|
ram(1538) <= x"beab"; -- 0C04
|
1766 |
|
|
ram(1539) <= x"e7fb"; -- 0C06
|
1767 |
|
|
ram(1540) <= x"0026"; -- 0C08
|
1768 |
|
|
ram(1541) <= x"0002"; -- 0C0A
|
1769 |
|
|
ram(1542) <= x"4607"; -- 0C0C
|
1770 |
|
|
ram(1543) <= x"4638"; -- 0C0E
|
1771 |
|
|
ram(1544) <= x"f7ff"; -- 0C10
|
1772 |
|
|
ram(1545) <= x"fff6"; -- 0C12
|
1773 |
|
|
ram(1546) <= x"e7fb"; -- 0C14
|
1774 |
|
|
ram(1547) <= x"b580"; -- 0C16
|
1775 |
|
|
ram(1548) <= x"f7ff"; -- 0C18
|
1776 |
|
|
ram(1549) <= x"fff8"; -- 0C1A
|
1777 |
|
|
ram(1550) <= x"2001"; -- 0C1C
|
1778 |
|
|
ram(1551) <= x"4770"; -- 0C1E
|
1779 |
|
|
ram(1552) <= x"e7fe"; -- 0C20
|
1780 |
|
|
ram(1553) <= x"0000"; -- 0C22
|
1781 |
|
|
ram(1554) <= x"0000"; -- 0C24
|
1782 |
|
|
ram(1555) <= x"0000"; -- 0C26
|
1783 |
|
|
ram(1556) <= x"0000"; -- 0C28
|
1784 |
|
|
ram(1557) <= x"0000"; -- 0C2A
|
1785 |
|
|
ram(1558) <= x"0000"; -- 0C2C
|
1786 |
|
|
ram(1559) <= x"0000"; -- 0C2E
|
1787 |
|
|
ram(1560) <= x"e7fe"; -- 0C30
|
1788 |
|
|
ram(1561) <= x"0000"; -- 0C32
|
1789 |
|
|
ram(1562) <= x"0000"; -- 0C34
|
1790 |
|
|
ram(1563) <= x"0000"; -- 0C36
|
1791 |
|
|
ram(1564) <= x"0000"; -- 0C38
|
1792 |
|
|
ram(1565) <= x"0000"; -- 0C3A
|
1793 |
|
|
ram(1566) <= x"0000"; -- 0C3C
|
1794 |
|
|
ram(1567) <= x"0000"; -- 0C3E
|
1795 |
|
|
else
|
1796 |
|
|
if rising_edge(clk) then
|
1797 |
|
|
if wrl = '0' then
|
1798 |
|
|
ram(conv_integer(addr(11 downto 1)))(7 downto 0) <= dataout(7 downto 0);
|
1799 |
|
|
end if;
|
1800 |
|
|
if wrh = '0' then
|
1801 |
|
|
ram(conv_integer(addr(11 downto 1)))(15 downto 8) <= dataout(15 downto 8);
|
1802 |
|
|
end if;
|
1803 |
|
|
end if;
|
1804 |
|
|
end if;
|
1805 |
|
|
end process;
|
1806 |
|
|
|
1807 |
|
|
--#################################################################
|
1808 |
|
|
-- UART
|
1809 |
|
|
dataFromUart(15 downto 8) <= x"00";
|
1810 |
|
|
SERUART : uart Port map (
|
1811 |
|
|
clk => clk, --: in std_logic;
|
1812 |
|
|
rst => rst, --: in std_logic;
|
1813 |
|
|
datain => dataout(7 downto 0), --: in std_logic_vector(7 downto 0);
|
1814 |
|
|
dataout => dataFromUart(7 downto 0), --: out std_logic_vector(7 downto 0);
|
1815 |
|
|
addr => addr(3 downto 1), --: in std_logic_vector(2 downto 0);
|
1816 |
|
|
cs => cs_uart, --: in std_logic;
|
1817 |
|
|
wr => wrl, --: in std_logic;
|
1818 |
|
|
serIn => RXD, --: in std_logic;
|
1819 |
|
|
serOut => TXD --: out std_logic
|
1820 |
|
|
);
|
1821 |
|
|
|
1822 |
|
|
end behavior;
|