OpenCores
URL https://opencores.org/ocsvn/cowgirl/cowgirl/trunk

Subversion Repositories cowgirl

[/] [cowgirl/] [tags/] [start/] [regtest.vhdl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 thebeekeep
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
entity prog_rom is port (
5
        input:  in std_logic_vector(15 downto 0);
6
        output: out std_logic_vector(15 downto 0)
7
);
8
end;
9
 
10
architecture rom_arch of prog_rom is
11
begin
12
        process(input)
13
        begin
14
                case input is
15
                        when "0000000000000000" =>
16
                                output <= "0100000000000010";
17
                        when "0000000000000001" =>
18
                                output <= "0100000000000001";
19
                        when "0000000000000010" =>
20
                                output <= "0100001000000100";
21
                        when "0000000000000011" =>
22
                                output <= "0100001000000001";
23
                        when "0000000000000100" =>
24
                                output <= "0100010000000110";
25
                        when "0000000000000101" =>
26
                                output <= "0100010000000001";
27
                        when "0000000000000110" =>
28
                                output <= "0100011000010010";
29
                        when "0000000000000111" =>
30
                                output <= "0100011000001101";
31
                        when "0000000000001000" =>
32
                                output <= "0100100000000000";
33
                        when "0000000000001001" =>
34
                                output <= "0100100000001001";
35
                        when "0000000000001010" =>
36
                                output <= "0100101000000010";
37
                        when "0000000000001011" =>
38
                                output <= "0100101000000011";
39
                        when "0000000000001100" =>
40
                                output <= "0100110000001100";
41
                        when "0000000000001101" =>
42
                                output <= "0100110000001101";
43
                        when "0000000000001110" =>
44
                                output <= "0100111000001110";
45
                        when "0000000000001111" =>
46
                                output <= "0100111000001111";
47
                  when "0000000000010000" =>
48
                                output <= x"2660";
49
                        when others =>
50
                                output <= "1111000000000000";
51
                end case;
52
        end process;
53
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.