OpenCores
URL https://opencores.org/ocsvn/cowgirl/cowgirl/trunk

Subversion Repositories cowgirl

[/] [cowgirl/] [trunk/] [mux_2_1.vhdl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 thebeekeep
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
entity mux_2_1 is port(
5
        a:      in std_logic_vector(15 downto 0);
6
        b:      in std_logic_vector(15 downto 0);
7
        sel:    in std_logic;
8
        o:      out std_logic_vector(15 downto 0)
9
);
10
end mux_2_1;
11
 
12
architecture mux_arch of mux_2_1 is
13
begin
14
        process(sel, a, b)
15
        begin
16
                if sel = '0' then
17
                        o <= a;
18
                else
19
                        o <= b;
20
                end if;
21
        end process;
22
end mux_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.