OpenCores
URL https://opencores.org/ocsvn/cowgirl/cowgirl/trunk

Subversion Repositories cowgirl

[/] [cowgirl/] [trunk/] [reg_decode.vhdl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 thebeekeep
-- 10/24/2005
2
-- Register Address Decoding
3
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
entity reg_dec is port(
8
  addr: in std_logic_vector(2 downto 0);
9
  en0:  out std_logic;
10
  en1:  out std_logic;
11
  en2:  out std_logic;
12
  en3:  out std_logic;
13
  en4:  out std_logic;
14
  en5:  out std_logic;
15
  en6:  out std_logic;
16
  en7:  out std_logic
17
  );
18
end reg_dec;
19
 
20
architecture rd_arch of reg_dec is
21
begin
22
  process(addr)
23
  begin
24
    en0 <= (not addr(2)) and (not addr(1)) and (not addr(0));
25
    en1 <= (not addr(2)) and (not addr(1)) and addr(0);
26
    en2 <= (not addr(2)) and addr(1) and (not addr(0));
27
    en3 <= (not addr(2)) and addr(1) and addr(0);
28
    en4 <= addr(2) and (not addr(1)) and (not addr(0));
29
    en5 <= addr(2) and (not addr(1)) and addr(0);
30
    en6 <= addr(2) and addr(1) and (not addr(0));
31
    en7 <= addr(2) and addr(1) and addr(0);
32
  end process;
33
end rd_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.