OpenCores
URL https://opencores.org/ocsvn/cowgirl/cowgirl/trunk

Subversion Repositories cowgirl

[/] [cowgirl/] [trunk/] [shifter.vhdl] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 thebeekeep
-- this is totally unimplemented becasue I don't feel like
2
-- doing it right now => .
3
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
entity shifter is
8
 
9
  port (
10
    n_shift : in  std_logic_vector(7 downto 0);    -- number of bits to shift
11
    sh_type : in  std_logic_vector(1 downto 0);    -- which type of shift?
12
    data    : in  std_logic_vector(15 downto 0);   -- input data
13
    o       : out std_logic_vector(15 downto 0));  -- shifted data
14
 
15
end shifter;
16
 
17
architecture s_arch of shifter is
18
 
19
begin  -- s_arch
20
 
21
  sh_logic:process(sh_type)
22
    begin
23
    case sh_type is
24
      when "00" =>                       -- left, logical
25
        o <= "0000000000000000";
26
 
27
      when others => null;
28
 
29
    end case;
30
  end process sh_logic;
31
 
32
end s_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.