OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [branches/] [avendor/] [rtl/] [vhdl/] [reg_pc.vhd] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.Reg_PC.symbol
2
--
3
-- Created:
4 8 fpga_is_fu
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
5
--          at - 22:42:52 04.01.2009
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 8 fpga_is_fu
entity Reg_PC is
14
   port(
15
      adr_i        : in     std_logic_vector (15 downto 0);
16
      clk_clk_i    : in     std_logic;
17
      ld_i         : in     std_logic_vector (1 downto 0);
18
      ld_pc_i      : in     std_logic;
19
      offset_i     : in     std_logic_vector (15 downto 0);
20
      rst_rst_n_i  : in     std_logic;
21
      sel_pc_as_i  : in     std_logic;
22
      sel_pc_in_i  : in     std_logic;
23
      sel_pc_val_i : in     std_logic_vector (1 downto 0);
24
      adr_nxt_pc_o : out    std_logic_vector (15 downto 0);
25
      adr_pc_o     : out    std_logic_vector (15 downto 0)
26 2 fpga_is_fu
   );
27
 
28
-- Declarations
29
 
30 8 fpga_is_fu
end Reg_PC ;
31 2 fpga_is_fu
 
32
-- Jens-D. Gutschmidt     Project:  R6502_TC  
33
-- scantara2003@yahoo.de                      
34
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG                                                                                     
35
--                                                                                                                                             
36
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
37
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
38
--                                                                                                                                             
39
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
40
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
41
--                                                                                                                                             
42
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
43
--                                                                                                                                             
44
-- CVS Revisins History                                                                                                                        
45
--                                                                                                                                             
46 6 fpga_is_fu
-- $Log: not supported by cvs2svn $                                                                                                                         
47
--   <<-- more -->>                                                                                                                            
48 2 fpga_is_fu
-- Title:  Program Counter Logic  
49
-- Path:  R6502_TC/Reg_PC/struct  
50 6 fpga_is_fu
-- Edited:  by eda on 01 Jan 2009  
51 2 fpga_is_fu
--
52
-- VHDL Architecture R6502_TC.Reg_PC.struct
53
--
54
-- Created:
55 8 fpga_is_fu
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
56
--          at - 22:42:52 04.01.2009
57 2 fpga_is_fu
--
58
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
59
--
60
LIBRARY ieee;
61
USE ieee.std_logic_1164.all;
62
USE ieee.std_logic_arith.all;
63
 
64
 
65 8 fpga_is_fu
architecture struct of Reg_PC is
66 2 fpga_is_fu
 
67
   -- Architecture declarations
68
 
69
   -- Internal signal declarations
70 8 fpga_is_fu
   signal adr_pc_high_o_i : std_logic_vector(7 downto 0);
71
   signal adr_pc_low_o_i  : std_logic_vector(7 downto 0);
72
   signal adr_pc_o_i      : std_logic_vector(15 downto 0);
73
   signal as_n_o_i        : std_logic;
74
   signal ci_o_i          : std_logic;
75
   signal cout_pc_o_i     : std_logic;
76
   signal load3_o_i       : std_logic;
77
   signal load_o_i        : std_logic;
78
   signal offset_high_o_i : std_logic_vector(7 downto 0);
79
   signal offset_low_o_i  : std_logic_vector(7 downto 0);
80
   signal val_o_i         : std_logic_vector(7 downto 0);
81
   signal val_one         : std_logic_vector(7 downto 0);
82
   signal val_zero        : std_logic_vector(7 downto 0);
83 2 fpga_is_fu
 
84
   -- Implicit buffer signal declarations
85 8 fpga_is_fu
   signal adr_pc_o_internal     : std_logic_vector (15 downto 0);
86
   signal adr_nxt_pc_o_internal : std_logic_vector (15 downto 0);
87 2 fpga_is_fu
 
88
 
89 8 fpga_is_fu
   -- ModuleWare signal declarations(v1.9) for instance 'U_11' of 'addsub'
90
   signal mw_U_11temp_din0 : std_logic_vector(8 downto 0);
91
   signal mw_U_11temp_din1 : std_logic_vector(8 downto 0);
92
   signal mw_U_11sum : unsigned(8 downto 0);
93
 
94
   -- ModuleWare signal declarations(v1.9) for instance 'U_12' of 'addsub'
95
   signal mw_U_12temp_din0 : std_logic_vector(8 downto 0);
96
   signal mw_U_12temp_din1 : std_logic_vector(8 downto 0);
97
   signal mw_U_12sum : unsigned(8 downto 0);
98
 
99 2 fpga_is_fu
   -- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff'
100 8 fpga_is_fu
   signal mw_U_0reg_cval : std_logic_vector(7 downto 0);
101 2 fpga_is_fu
 
102
   -- ModuleWare signal declarations(v1.9) for instance 'U_4' of 'adff'
103 8 fpga_is_fu
   signal mw_U_4reg_cval : std_logic_vector(7 downto 0);
104 2 fpga_is_fu
 
105
 
106 8 fpga_is_fu
begin
107 2 fpga_is_fu
 
108
   -- ModuleWare code(v1.9) for instance 'U_11' of 'addsub'
109 8 fpga_is_fu
   mw_U_11temp_din0 <= '0' & adr_pc_low_o_i;
110
   mw_U_11temp_din1 <= '0' & val_o_i;
111
   u_11combo_proc: process (mw_U_11temp_din0, mw_U_11temp_din1, as_n_o_i)
112
   variable temp_carry : std_logic;
113
   begin
114 6 fpga_is_fu
      temp_carry := '0';
115 8 fpga_is_fu
      if (as_n_o_i = '1') then
116
         mw_U_11sum <= unsigned(mw_U_11temp_din0) + unsigned(mw_U_11temp_din1) + temp_carry;
117
      else
118
         mw_U_11sum <= unsigned(mw_U_11temp_din0) - unsigned(mw_U_11temp_din1) - temp_carry;
119
      end if;
120
   end process u_11combo_proc;
121
   adr_nxt_pc_o_internal(7 DOWNTO 0) <= conv_std_logic_vector(mw_U_11sum(7 downto 0),8);
122
   cout_pc_o_i <= mw_U_11sum(8);
123 2 fpga_is_fu
 
124
   -- ModuleWare code(v1.9) for instance 'U_12' of 'addsub'
125 8 fpga_is_fu
   mw_U_12temp_din0 <= '0' & adr_pc_high_o_i;
126
   mw_U_12temp_din1 <= '0' & offset_high_o_i;
127
   u_12combo_proc: process (mw_U_12temp_din0, mw_U_12temp_din1, as_n_o_i, ci_o_i)
128
   variable temp_carry : std_logic;
129
   begin
130 6 fpga_is_fu
      temp_carry := ci_o_i;
131 8 fpga_is_fu
      if (as_n_o_i = '1') then
132
         mw_U_12sum <= unsigned(mw_U_12temp_din0) + unsigned(mw_U_12temp_din1) + temp_carry;
133
      else
134
         mw_U_12sum <= unsigned(mw_U_12temp_din0) - unsigned(mw_U_12temp_din1) - temp_carry;
135
      end if;
136
   end process u_12combo_proc;
137
   adr_nxt_pc_o_internal(15 DOWNTO 8) <= conv_std_logic_vector(mw_U_12sum(7 downto 0),8);
138 2 fpga_is_fu
 
139
   -- ModuleWare code(v1.9) for instance 'U_0' of 'adff'
140
   adr_pc_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
141 8 fpga_is_fu
   u_0seq_proc: process (clk_clk_i, rst_rst_n_i)
142
   begin
143
      if (rst_rst_n_i = '0') then
144 2 fpga_is_fu
         mw_U_0reg_cval <= "00000000";
145 8 fpga_is_fu
      elsif (clk_clk_i'event and clk_clk_i='1') then
146
         if (load_o_i = '1') then
147 6 fpga_is_fu
            mw_U_0reg_cval <= adr_nxt_pc_o_internal(7 DOWNTO 0);
148 8 fpga_is_fu
         end if;
149
      end if;
150
   end process u_0seq_proc;
151 2 fpga_is_fu
 
152
   -- ModuleWare code(v1.9) for instance 'U_4' of 'adff'
153
   adr_pc_o_internal(15 DOWNTO 8) <= mw_U_4reg_cval;
154 8 fpga_is_fu
   u_4seq_proc: process (clk_clk_i, rst_rst_n_i)
155
   begin
156
      if (rst_rst_n_i = '0') then
157 2 fpga_is_fu
         mw_U_4reg_cval <= "00000000";
158 8 fpga_is_fu
      elsif (clk_clk_i'event and clk_clk_i='1') then
159
         if (load3_o_i = '1') then
160 6 fpga_is_fu
            mw_U_4reg_cval <= adr_nxt_pc_o_internal(15 DOWNTO 8);
161 8 fpga_is_fu
         end if;
162
      end if;
163
   end process u_4seq_proc;
164 2 fpga_is_fu
 
165
   -- ModuleWare code(v1.9) for instance 'U_6' of 'and'
166 8 fpga_is_fu
   load_o_i <= ld_pc_i and ld_i(0);
167 2 fpga_is_fu
 
168
   -- ModuleWare code(v1.9) for instance 'U_7' of 'and'
169 8 fpga_is_fu
   load3_o_i <= ld_pc_i and ld_i(1);
170 2 fpga_is_fu
 
171
   -- ModuleWare code(v1.9) for instance 'U_10' of 'and'
172 8 fpga_is_fu
   ci_o_i <= cout_pc_o_i and ld_pc_i;
173 2 fpga_is_fu
 
174 6 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_1' of 'constval'
175
   val_zero <= "00000000";
176
 
177
   -- ModuleWare code(v1.9) for instance 'U_9' of 'constval'
178
   val_one <= "00000001";
179
 
180 2 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_2' of 'inv'
181 8 fpga_is_fu
   as_n_o_i <= not(sel_pc_as_i);
182 2 fpga_is_fu
 
183
   -- ModuleWare code(v1.9) for instance 'U_8' of 'mux'
184 8 fpga_is_fu
   u_8combo_proc: process(adr_pc_o_internal, adr_i, sel_pc_in_i)
185
   begin
186
      case sel_pc_in_i is
187
      when '0' => adr_pc_o_i <= adr_pc_o_internal;
188
      when '1' => adr_pc_o_i <= adr_i;
189
      when others => adr_pc_o_i <= (others => 'X');
190
      end case;
191
   end process u_8combo_proc;
192 2 fpga_is_fu
 
193 6 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_13' of 'mux'
194 8 fpga_is_fu
   u_13combo_proc: process(val_one, val_zero, offset_low_o_i,
195 6 fpga_is_fu
                           sel_pc_val_i)
196 8 fpga_is_fu
   begin
197
      case sel_pc_val_i is
198
      when "00" => val_o_i <= val_one;
199
      when "01" => val_o_i <= val_zero;
200
      when "10" => val_o_i <= offset_low_o_i;
201
      when "11" => val_o_i <= val_zero;
202
      when others => val_o_i <= (others => 'X');
203
      end case;
204
   end process u_13combo_proc;
205 2 fpga_is_fu
 
206 6 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_3' of 'split'
207 8 fpga_is_fu
   adr_pc_low_o_i <= adr_pc_o_i(7 downto 0);
208
   adr_pc_high_o_i <= adr_pc_o_i(15 downto 8);
209 6 fpga_is_fu
 
210
   -- ModuleWare code(v1.9) for instance 'U_5' of 'split'
211 8 fpga_is_fu
   offset_low_o_i <= offset_i(7 downto 0);
212
   offset_high_o_i <= offset_i(15 downto 8);
213 6 fpga_is_fu
 
214 2 fpga_is_fu
   -- Instance port mappings.
215
 
216
   -- Implicit buffered output assignments
217 6 fpga_is_fu
   adr_pc_o     <= adr_pc_o_internal;
218
   adr_nxt_pc_o <= adr_nxt_pc_o_internal;
219 2 fpga_is_fu
 
220 8 fpga_is_fu
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.