OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [core.vhd] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.Core.symbol
2
--
3
-- Created:
4 15 fpga_is_fu
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
5
--          at - 11:47:55 23.02.2009
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 15 fpga_is_fu
entity Core is
14
   port(
15
      clk_clk_i   : in     std_logic;
16
      d_i         : in     std_logic_vector (7 downto 0);
17
      irq_n_i     : in     std_logic;
18
      nmi_n_i     : in     std_logic;
19
      rdy_i       : in     std_logic;
20
      rst_rst_n_i : in     std_logic;
21
      so_n_i      : in     std_logic;
22
      a_o         : out    std_logic_vector (15 downto 0);
23
      d_o         : out    std_logic_vector (7 downto 0);
24
      rd_o        : out    std_logic;
25
      sync_o      : out    std_logic;
26
      wr_o        : out    std_logic
27 2 fpga_is_fu
   );
28
 
29
-- Declarations
30
 
31 15 fpga_is_fu
end Core ;
32 2 fpga_is_fu
 
33
-- Jens-D. Gutschmidt     Project:  R6502_TC  
34
-- scantara2003@yahoo.de                      
35 15 fpga_is_fu
-- COPYRIGHT (C) 2008-2009 by Jens Gutschmidt and OPENCORES.ORG                                                                                                                             
36 2 fpga_is_fu
--                                                                                                                                                                                          
37
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version   
38
-- 3 of the License, or any later version.                                                                                                                                                  
39
--                                                                                                                                                                                          
40
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A                          
41
-- PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                                                                                                
42
--                                                                                                                                                                                          
43
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.                                                  
44
--                                                                                                                                                                                          
45
-- CVS Revisins History                                                                                                                                                                     
46
--                                                                                                                                                                                          
47 11 fpga_is_fu
-- $Log: not supported by cvs2svn $                                                                                                                                                                      
48
--   <<-- more -->>                                                                                                                                                                         
49
-- Title:  Core  
50 2 fpga_is_fu
-- Path:  R6502_TC/Core/struct  
51 15 fpga_is_fu
-- Edited:  by eda on 10 Feb 2009  
52 2 fpga_is_fu
--
53
-- VHDL Architecture R6502_TC.Core.struct
54
--
55
-- Created:
56 15 fpga_is_fu
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
57
--          at - 11:47:57 23.02.2009
58 2 fpga_is_fu
--
59
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
60
--
61
LIBRARY ieee;
62
USE ieee.std_logic_1164.all;
63
USE ieee.std_logic_arith.all;
64
 
65 15 fpga_is_fu
library R6502_TC;
66 2 fpga_is_fu
 
67 15 fpga_is_fu
architecture struct of Core is
68 2 fpga_is_fu
 
69
   -- Architecture declarations
70
 
71
   -- Internal signal declarations
72 15 fpga_is_fu
   signal adr_nxt_pc_o_i : std_logic_vector(15 downto 0);
73
   signal adr_o_i        : std_logic_vector(15 downto 0);
74
   signal adr_pc_o_i     : std_logic_vector(15 downto 0);
75
   signal adr_sp_o_i     : std_logic_vector(15 downto 0);
76
   signal ch_a_o_i       : std_logic_vector(7 downto 0);
77
   signal ch_b_o_i       : std_logic_vector(7 downto 0);
78
   signal d_alu_n_o_i    : std_logic;
79
   signal d_alu_o_i      : std_logic_vector(7 downto 0);
80
   signal d_alu_or_o_i   : std_logic;
81
   signal d_regs_in_o_i  : std_logic_vector(7 downto 0);
82
   signal d_regs_out_o_i : std_logic_vector(7 downto 0);
83
   signal fetch_o_i      : std_logic;
84
   signal ld_o_i         : std_logic_vector(1 downto 0);
85
   signal ld_pc_o_i      : std_logic;
86
   signal ld_sp_o_i      : std_logic;
87
   signal load_regs_o_i  : std_logic;
88
   signal nmi_o_i        : std_logic;
89
   signal offset_o_i     : std_logic_vector(15 downto 0);
90
   signal q_a_o_i        : std_logic_vector(7 downto 0);
91
   signal q_x_o_i        : std_logic_vector(7 downto 0);
92
   signal q_y_o_i        : std_logic_vector(7 downto 0);
93
   signal reg_0flag_o_i  : std_logic;
94
   signal reg_1flag_o_i  : std_logic;
95
   signal reg_7flag_o_i  : std_logic;
96
   signal sel_pc_in_o_i  : std_logic;
97
   signal sel_pc_val_o_i : std_logic_vector(1 downto 0);
98
   signal sel_rb_in_o_i  : std_logic_vector(1 downto 0);
99
   signal sel_rb_out_o_i : std_logic_vector(1 downto 0);
100
   signal sel_reg_o_i    : std_logic_vector(1 downto 0);
101
   signal sel_sp_as_o_i  : std_logic;
102
   signal sel_sp_in_o_i  : std_logic;
103 2 fpga_is_fu
 
104
 
105 15 fpga_is_fu
   -- ModuleWare signal declarations(v1.9) for instance 'U_11' of 'add'
106
   signal mw_U_11temp_din0 : std_logic_vector(8 downto 0);
107
   signal mw_U_11temp_din1 : std_logic_vector(8 downto 0);
108
   signal mw_U_11sum : unsigned(8 downto 0);
109
 
110 2 fpga_is_fu
   -- Component Declarations
111 15 fpga_is_fu
   component FSM_Execution_Unit
112
   port (
113
      adr_nxt_pc_i : in     std_logic_vector (15 downto 0);
114
      adr_pc_i     : in     std_logic_vector (15 downto 0);
115
      adr_sp_i     : in     std_logic_vector (15 downto 0);
116
      clk_clk_i    : in     std_logic ;
117
      d_alu_i      : in     std_logic_vector ( 7 downto 0 );
118
      d_i          : in     std_logic_vector ( 7 downto 0 );
119
      d_regs_out_i : in     std_logic_vector ( 7 downto 0 );
120
      irq_n_i      : in     std_logic ;
121
      nmi_i        : in     std_logic ;
122
      q_a_i        : in     std_logic_vector ( 7 downto 0 );
123
      q_x_i        : in     std_logic_vector ( 7 downto 0 );
124
      q_y_i        : in     std_logic_vector ( 7 downto 0 );
125
      rdy_i        : in     std_logic ;
126
      reg_0flag_i  : in     std_logic ;
127
      reg_1flag_i  : in     std_logic ;
128
      reg_7flag_i  : in     std_logic ;
129
      rst_rst_n_i  : in     std_logic ;
130
      so_n_i       : in     std_logic ;
131
      a_o          : out    std_logic_vector (15 downto 0);
132
      adr_o        : out    std_logic_vector (15 downto 0);
133
      ch_a_o       : out    std_logic_vector ( 7 downto 0 );
134
      ch_b_o       : out    std_logic_vector ( 7 downto 0 );
135
      d_o          : out    std_logic_vector ( 7 downto 0 );
136
      d_regs_in_o  : out    std_logic_vector ( 7 downto 0 );
137
      fetch_o      : out    std_logic ;
138
      ld_o         : out    std_logic_vector ( 1 downto 0 );
139
      ld_pc_o      : out    std_logic ;
140
      ld_sp_o      : out    std_logic ;
141
      load_regs_o  : out    std_logic ;
142
      offset_o     : out    std_logic_vector ( 15 downto 0 );
143
      rd_o         : out    std_logic ;
144
      sel_pc_in_o  : out    std_logic ;
145
      sel_pc_val_o : out    std_logic_vector ( 1 downto 0 );
146
      sel_rb_in_o  : out    std_logic_vector ( 1 downto 0 );
147
      sel_rb_out_o : out    std_logic_vector ( 1 downto 0 );
148
      sel_reg_o    : out    std_logic_vector ( 1 downto 0 );
149
      sel_sp_as_o  : out    std_logic ;
150
      sel_sp_in_o  : out    std_logic ;
151
      sync_o       : out    std_logic ;
152
      wr_o         : out    std_logic
153 2 fpga_is_fu
   );
154 15 fpga_is_fu
   end component;
155
   component FSM_NMI
156
   port (
157
      clk_clk_i   : in     std_logic ;
158
      fetch_i     : in     std_logic ;
159
      nmi_n_i     : in     std_logic ;
160
      rst_rst_n_i : in     std_logic ;
161
      nmi_o       : out    std_logic
162 11 fpga_is_fu
   );
163 15 fpga_is_fu
   end component;
164
   component RegBank_AXY
165
   port (
166
      clk_clk_i    : in     std_logic ;
167
      d_regs_in_i  : in     std_logic_vector (7 downto 0);
168
      load_regs_i  : in     std_logic ;
169
      rst_rst_n_i  : in     std_logic ;
170
      sel_rb_in_i  : in     std_logic_vector (1 downto 0);
171
      sel_rb_out_i : in     std_logic_vector (1 downto 0);
172
      sel_reg_i    : in     std_logic_vector (1 downto 0);
173
      d_regs_out_o : out    std_logic_vector (7 downto 0);
174
      q_a_o        : out    std_logic_vector (7 downto 0);
175
      q_x_o        : out    std_logic_vector (7 downto 0);
176
      q_y_o        : out    std_logic_vector (7 downto 0)
177 2 fpga_is_fu
   );
178 15 fpga_is_fu
   end component;
179
   component Reg_PC
180
   port (
181
      adr_i        : in     std_logic_vector (15 downto 0);
182
      clk_clk_i    : in     std_logic ;
183
      ld_i         : in     std_logic_vector (1 downto 0);
184
      ld_pc_i      : in     std_logic ;
185
      offset_i     : in     std_logic_vector (15 downto 0);
186
      rst_rst_n_i  : in     std_logic ;
187
      sel_pc_in_i  : in     std_logic ;
188
      sel_pc_val_i : in     std_logic_vector (1 downto 0);
189
      adr_nxt_pc_o : out    std_logic_vector (15 downto 0);
190
      adr_pc_o     : out    std_logic_vector (15 downto 0)
191 2 fpga_is_fu
   );
192 15 fpga_is_fu
   end component;
193
   component Reg_SP
194
   port (
195
      adr_low_i   : in     std_logic_vector (7 downto 0);
196
      clk_clk_i   : in     std_logic ;
197
      ld_low_i    : in     std_logic ;
198
      ld_sp_i     : in     std_logic ;
199
      rst_rst_n_i : in     std_logic ;
200
      sel_sp_as_i : in     std_logic ;
201
      sel_sp_in_i : in     std_logic ;
202
      adr_sp_o    : out    std_logic_vector (15 downto 0)
203 5 fpga_is_fu
   );
204 15 fpga_is_fu
   end component;
205 2 fpga_is_fu
 
206 15 fpga_is_fu
   -- Optional embedded configurations
207
   -- pragma synthesis_off
208
   for all : FSM_Execution_Unit use entity R6502_TC.FSM_Execution_Unit;
209
   for all : FSM_NMI use entity R6502_TC.FSM_NMI;
210
   for all : RegBank_AXY use entity R6502_TC.RegBank_AXY;
211
   for all : Reg_PC use entity R6502_TC.Reg_PC;
212
   for all : Reg_SP use entity R6502_TC.Reg_SP;
213
   -- pragma synthesis_on
214 2 fpga_is_fu
 
215
 
216 15 fpga_is_fu
begin
217
 
218 11 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_11' of 'add'
219 15 fpga_is_fu
   mw_U_11temp_din0 <= '0' & ch_a_o_i;
220
   mw_U_11temp_din1 <= '0' & ch_b_o_i;
221
   u_11combo_proc: process (mw_U_11temp_din0, mw_U_11temp_din1)
222
   variable temp_carry : std_logic;
223
   begin
224 11 fpga_is_fu
      temp_carry := '0';
225 15 fpga_is_fu
      mw_U_11sum <= unsigned(mw_U_11temp_din0) + unsigned(mw_U_11temp_din1) + temp_carry;
226
   end process u_11combo_proc;
227
   d_alu_o_i <= conv_std_logic_vector(mw_U_11sum(7 downto 0),8);
228
   reg_0flag_o_i <= mw_U_11sum(8) ;
229 2 fpga_is_fu
 
230 11 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_8' of 'inv'
231 15 fpga_is_fu
   reg_1flag_o_i <= not(d_alu_or_o_i);
232 11 fpga_is_fu
 
233
   -- ModuleWare code(v1.9) for instance 'U_9' of 'inv'
234 15 fpga_is_fu
   reg_7flag_o_i <= not(d_alu_n_o_i);
235 11 fpga_is_fu
 
236
   -- ModuleWare code(v1.9) for instance 'U_10' of 'inv'
237 15 fpga_is_fu
   d_alu_n_o_i <= not(d_alu_o_i(7));
238 11 fpga_is_fu
 
239
   -- ModuleWare code(v1.9) for instance 'U_7' of 'por'
240 15 fpga_is_fu
   d_alu_or_o_i <= d_alu_o_i(0) or  d_alu_o_i(1) or  d_alu_o_i(2) or  d_alu_o_i(3) or  d_alu_o_i(4) or  d_alu_o_i(5) or  d_alu_o_i(6) or  d_alu_o_i(7);
241 11 fpga_is_fu
 
242 2 fpga_is_fu
   -- Instance port mappings.
243 11 fpga_is_fu
   U_4 : FSM_Execution_Unit
244 15 fpga_is_fu
      port map (
245 11 fpga_is_fu
         adr_nxt_pc_i => adr_nxt_pc_o_i,
246
         adr_pc_i     => adr_pc_o_i,
247
         adr_sp_i     => adr_sp_o_i,
248
         clk_clk_i    => clk_clk_i,
249
         d_alu_i      => d_alu_o_i,
250
         d_i          => d_i,
251
         d_regs_out_i => d_regs_out_o_i,
252
         irq_n_i      => irq_n_i,
253
         nmi_i        => nmi_o_i,
254
         q_a_i        => q_a_o_i,
255
         q_x_i        => q_x_o_i,
256
         q_y_i        => q_y_o_i,
257
         rdy_i        => rdy_i,
258
         reg_0flag_i  => reg_0flag_o_i,
259
         reg_1flag_i  => reg_1flag_o_i,
260
         reg_7flag_i  => reg_7flag_o_i,
261
         rst_rst_n_i  => rst_rst_n_i,
262
         so_n_i       => so_n_i,
263
         a_o          => a_o,
264
         adr_o        => adr_o_i,
265
         ch_a_o       => ch_a_o_i,
266
         ch_b_o       => ch_b_o_i,
267
         d_o          => d_o,
268
         d_regs_in_o  => d_regs_in_o_i,
269
         fetch_o      => fetch_o_i,
270
         ld_o         => ld_o_i,
271
         ld_pc_o      => ld_pc_o_i,
272
         ld_sp_o      => ld_sp_o_i,
273
         load_regs_o  => load_regs_o_i,
274
         offset_o     => offset_o_i,
275
         rd_o         => rd_o,
276
         sel_pc_in_o  => sel_pc_in_o_i,
277
         sel_pc_val_o => sel_pc_val_o_i,
278
         sel_rb_in_o  => sel_rb_in_o_i,
279
         sel_rb_out_o => sel_rb_out_o_i,
280
         sel_reg_o    => sel_reg_o_i,
281
         sel_sp_as_o  => sel_sp_as_o_i,
282
         sel_sp_in_o  => sel_sp_in_o_i,
283
         sync_o       => sync_o,
284
         wr_o         => wr_o
285 2 fpga_is_fu
      );
286 11 fpga_is_fu
   U_6 : FSM_NMI
287 15 fpga_is_fu
      port map (
288 11 fpga_is_fu
         clk_clk_i   => clk_clk_i,
289
         fetch_i     => fetch_o_i,
290
         nmi_n_i     => nmi_n_i,
291
         rst_rst_n_i => rst_rst_n_i,
292
         nmi_o       => nmi_o_i
293
      );
294 2 fpga_is_fu
   U_2 : RegBank_AXY
295 15 fpga_is_fu
      port map (
296 2 fpga_is_fu
         clk_clk_i    => clk_clk_i,
297
         d_regs_in_i  => d_regs_in_o_i,
298
         load_regs_i  => load_regs_o_i,
299 11 fpga_is_fu
         rst_rst_n_i  => rst_rst_n_i,
300 2 fpga_is_fu
         sel_rb_in_i  => sel_rb_in_o_i,
301 11 fpga_is_fu
         sel_rb_out_i => sel_rb_out_o_i,
302 2 fpga_is_fu
         sel_reg_i    => sel_reg_o_i,
303
         d_regs_out_o => d_regs_out_o_i,
304
         q_a_o        => q_a_o_i,
305
         q_x_o        => q_x_o_i,
306
         q_y_o        => q_y_o_i
307
      );
308
   U_0 : Reg_PC
309 15 fpga_is_fu
      port map (
310 2 fpga_is_fu
         adr_i        => adr_o_i,
311
         clk_clk_i    => clk_clk_i,
312
         ld_i         => ld_o_i,
313
         ld_pc_i      => ld_pc_o_i,
314
         offset_i     => offset_o_i,
315 11 fpga_is_fu
         rst_rst_n_i  => rst_rst_n_i,
316
         sel_pc_in_i  => sel_pc_in_o_i,
317 2 fpga_is_fu
         sel_pc_val_i => sel_pc_val_o_i,
318
         adr_nxt_pc_o => adr_nxt_pc_o_i,
319 11 fpga_is_fu
         adr_pc_o     => adr_pc_o_i
320 2 fpga_is_fu
      );
321
   U_1 : Reg_SP
322 15 fpga_is_fu
      port map (
323 11 fpga_is_fu
         adr_low_i   => adr_o_i(7 DOWNTO 0),
324 5 fpga_is_fu
         clk_clk_i   => clk_clk_i,
325 11 fpga_is_fu
         ld_low_i    => ld_o_i(0),
326
         ld_sp_i     => ld_sp_o_i,
327 5 fpga_is_fu
         rst_rst_n_i => rst_rst_n_i,
328 11 fpga_is_fu
         sel_sp_as_i => sel_sp_as_o_i,
329
         sel_sp_in_i => sel_sp_in_o_i,
330
         adr_sp_o    => adr_sp_o_i
331 5 fpga_is_fu
      );
332 2 fpga_is_fu
 
333 15 fpga_is_fu
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.