OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [core.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.Core.symbol
2
--
3
-- Created:
4
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
5 5 fpga_is_fu
--          at - 19:49:03 17.04.2008
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13
entity Core is
14
   port(
15
      clk_clk_i   : in     std_logic;
16
      d_i         : in     std_logic_vector (7 downto 0);
17
      irq_n_i     : in     std_logic;
18 5 fpga_is_fu
      nmi_n_i     : in     std_logic;
19 2 fpga_is_fu
      rdy_i       : in     std_logic;
20
      rst_rst_n_i : in     std_logic;
21
      so_n_i      : in     std_logic;
22
      a_o         : out    std_logic_vector (15 downto 0);
23
      d_o         : out    std_logic_vector (7 downto 0);
24
      rd_o        : out    std_logic;
25
      sync_o      : out    std_logic;
26
      wr_n_o      : out    std_logic;
27
      wr_o        : out    std_logic
28
   );
29
 
30
-- Declarations
31
 
32
end Core ;
33
 
34
-- Jens-D. Gutschmidt     Project:  R6502_TC  
35
-- scantara2003@yahoo.de                      
36
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG                                                                                                                                  
37
--                                                                                                                                                                                          
38
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version   
39
-- 3 of the License, or any later version.                                                                                                                                                  
40
--                                                                                                                                                                                          
41
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A                          
42
-- PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                                                                                                
43
--                                                                                                                                                                                          
44
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.                                                  
45
--                                                                                                                                                                                          
46
-- CVS Revisins History                                                                                                                                                                     
47
--                                                                                                                                                                                          
48
-- $Log: not supported by cvs2svn $                                                                                                                                                                                    
49
-- Title:  Core of 6502  
50
-- Path:  R6502_TC/Core/struct  
51 5 fpga_is_fu
-- Edited:  by eda on 17 Apr 2008  
52 2 fpga_is_fu
--
53
-- VHDL Architecture R6502_TC.Core.struct
54
--
55
-- Created:
56
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
57 5 fpga_is_fu
--          at - 19:49:03 17.04.2008
58 2 fpga_is_fu
--
59
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
60
--
61
LIBRARY ieee;
62
USE ieee.std_logic_1164.all;
63
USE ieee.std_logic_arith.all;
64
 
65
library R6502_TC;
66
 
67
architecture struct of Core is
68
 
69
   -- Architecture declarations
70
 
71
   -- Internal signal declarations
72
   signal adr_nxt_pc_o_i     : std_logic_vector(15 downto 0);
73
   signal adr_nxt_sp_o_i     : std_logic_vector(15 downto 0);
74
   signal adr_o_i            : std_logic_vector(15 downto 0);
75
   signal adr_pc_o_i         : std_logic_vector(15 downto 0);
76
   signal adr_sp_o_i         : std_logic_vector(15 downto 0);
77
   signal ch_a_o_i           : std_logic_vector(7 downto 0);
78
   signal ch_b_o_i           : std_logic_vector(7 downto 0);
79
   signal cout_pc_o_i        : std_logic;
80
   signal d_alu_o_i          : std_logic_vector(7 downto 0);
81
   signal d_regs_in_o_i      : std_logic_vector(7 downto 0);
82
   signal d_regs_out_o_i     : std_logic_vector(7 downto 0);
83 5 fpga_is_fu
   signal fetch_o_i          : std_logic;
84 2 fpga_is_fu
   signal ld_o_i             : std_logic_vector(1 downto 0);
85
   signal ld_pc_o_i          : std_logic;
86
   signal ld_sp_o_i          : std_logic;
87
   signal load_regs_o_i      : std_logic;
88 5 fpga_is_fu
   signal nmi_o_i            : std_logic;
89 2 fpga_is_fu
   signal offset_o_i         : std_logic_vector(15 downto 0);
90
   signal q_a_o_i            : std_logic_vector(7 downto 0);
91
   signal q_x_o_i            : std_logic_vector(7 downto 0);
92
   signal q_y_o_i            : std_logic_vector(7 downto 0);
93
   signal reg_0flag_core_o_i : std_logic;
94
   signal reg_0flag_o_i      : std_logic;
95
   signal reg_1flag_o_i      : std_logic;
96
   signal reg_3flag_core_o_i : std_logic;
97
   signal reg_6flag_o_i      : std_logic;
98
   signal reg_7flag_core_o_i : std_logic;
99
   signal reg_7flag_o_i      : std_logic;
100
   signal rst_rst_int_o_i    : std_logic;
101
   signal sel_alu_as_o_i     : std_logic;
102
   signal sel_alu_out_o_i    : std_logic_vector(2 downto 0);
103
   signal sel_pc_as_o_i      : std_logic;
104
   signal sel_pc_in_o_i      : std_logic_vector(1 downto 0);
105
   signal sel_pc_val_o_i     : std_logic_vector(1 downto 0);
106
   signal sel_rb_in_o_i      : std_logic_vector(2 downto 0);
107
   signal sel_rb_out_o_i     : std_logic_vector(2 downto 0);
108
   signal sel_reg_o_i        : std_logic_vector(1 downto 0);
109
   signal sel_sp_as_o_i      : std_logic;
110
   signal sel_sp_in_o_i      : std_logic_vector(1 downto 0);
111
   signal sel_sp_val_o_i     : std_logic_vector(1 downto 0);
112
 
113
 
114
   -- Component Declarations
115
   component ALU
116
   port (
117
      ch_a_i           : in     std_logic_vector (7 downto 0);
118
      ch_b_i           : in     std_logic_vector (7 downto 0);
119
      reg_0flag_core_i : in     std_logic ;
120
      reg_3flag_core_i : in     std_logic ;
121
      reg_7flag_core_i : in     std_logic ;
122
      sel_alu_as_i     : in     std_logic ;
123
      sel_alu_out_i    : in     std_logic_vector (2 downto 0);
124
      d_alu_o          : out    std_logic_vector (7 downto 0);
125
      reg_0flag_o      : out    std_logic ;
126
      reg_1flag_o      : out    std_logic ;
127
      reg_6flag_o      : out    std_logic ;
128
      reg_7flag_o      : out    std_logic
129
   );
130
   end component;
131
   component RegBank_AXY
132
   port (
133
      clk_clk_i    : in     std_logic ;
134
      d_regs_in_i  : in     std_logic_vector (7 downto 0);
135
      load_regs_i  : in     std_logic ;
136
      rst_rst_i    : in     std_logic ;
137
      sel_rb_in_i  : in     std_logic_vector (2 downto 0);
138
      sel_rb_out_i : in     std_logic_vector (1 downto 0);
139
      sel_reg_i    : in     std_logic_vector (1 downto 0);
140
      d_regs_out_o : out    std_logic_vector (7 downto 0);
141
      q_a_o        : out    std_logic_vector (7 downto 0);
142
      q_x_o        : out    std_logic_vector (7 downto 0);
143
      q_y_o        : out    std_logic_vector (7 downto 0)
144
   );
145
   end component;
146
   component Reg_PC
147
   port (
148
      adr_i        : in     std_logic_vector (15 downto 0);
149
      clk_clk_i    : in     std_logic ;
150
      ld_i         : in     std_logic_vector (1 downto 0);
151
      ld_pc_i      : in     std_logic ;
152
      offset_i     : in     std_logic_vector (15 downto 0);
153
      rst_rst_i    : in     std_logic ;
154
      sel_pc_as_i  : in     std_logic ;
155
      sel_pc_in_i  : in     std_logic ;
156
      sel_pc_val_i : in     std_logic_vector (1 downto 0);
157
      adr_nxt_pc_o : out    std_logic_vector (15 downto 0);
158
      adr_pc_o     : out    std_logic_vector (15 downto 0);
159
      cout_pc_o    : out    std_logic
160
   );
161
   end component;
162
   component Reg_SP
163
   port (
164
      adr_i        : in     std_logic_vector (15 downto 0);
165
      clk_clk_i    : in     std_logic ;
166
      ld_i         : in     std_logic_vector (1 downto 0);
167
      ld_sp_i      : in     std_logic ;
168
      rst_rst_i    : in     std_logic ;
169
      sel_sp_as_i  : in     std_logic ;
170
      sel_sp_in_i  : in     std_logic ;
171
      sel_sp_val_i : in     std_logic ;
172
      adr_nxt_sp_o : out    std_logic_vector (15 downto 0);
173
      adr_sp_o     : out    std_logic_vector (15 downto 0)
174
   );
175
   end component;
176
   component fsm_core_V2_0
177
   port (
178
      adr_nxt_pc_i    : in     std_logic_vector (15 downto 0);
179
      adr_nxt_sp_i    : in     std_logic_vector (15 downto 0);
180
      adr_pc_i        : in     std_logic_vector (15 downto 0);
181
      adr_sp_i        : in     std_logic_vector (15 downto 0);
182
      clk_clk_i       : in     std_logic ;
183
      cout_pc_i       : in     std_logic ;
184
      d_alu_i         : in     std_logic_vector ( 7 downto 0 );
185
      d_i             : in     std_logic_vector ( 7 downto 0 );
186
      d_regs_out_i    : in     std_logic_vector ( 7 downto 0 );
187
      irq_n_i         : in     std_logic ;
188
      nmi_i           : in     std_logic ;
189
      q_a_i           : in     std_logic_vector ( 7 downto 0 );
190
      q_x_i           : in     std_logic_vector ( 7 downto 0 );
191
      q_y_i           : in     std_logic_vector ( 7 downto 0 );
192
      rdy_i           : in     std_logic ;
193
      reg_0flag_i     : in     std_logic ;
194
      reg_1flag_i     : in     std_logic ;
195
      reg_6flag_i     : in     std_logic ;
196
      reg_7flag_i     : in     std_logic ;
197
      rst_rst_n_i     : in     std_logic ;
198
      so_n_i          : in     std_logic ;
199
      a_o             : out    std_logic_vector (15 downto 0);
200
      adr_o           : out    std_logic_vector (15 downto 0);
201
      ch_a_o          : out    std_logic_vector ( 7 downto 0 );
202
      ch_b_o          : out    std_logic_vector ( 7 downto 0 );
203
      d_o             : out    std_logic_vector ( 7 downto 0 );
204
      d_regs_in_o     : out    std_logic_vector ( 7 downto 0 );
205 5 fpga_is_fu
      fetch_o         : out    std_logic ;
206 2 fpga_is_fu
      ld_o            : out    std_logic_vector ( 1 downto 0 );
207
      ld_pc_o         : out    std_logic ;
208
      ld_sp_o         : out    std_logic ;
209
      load_regs_o     : out    std_logic ;
210
      offset_o        : out    std_logic_vector ( 15 downto 0 );
211
      rd_o            : out    std_logic ;
212
      reg_0flag_o     : out    std_logic ;
213
      reg_1flag_o     : out    std_logic ;
214
      reg_3flag_o     : out    std_logic ;
215
      reg_7flag_o     : out    std_logic ;
216
      sync_o          : out    std_logic ;
217
      wr_n_o          : out    std_logic ;
218
      wr_o            : out    std_logic ;
219
      sel_alu_as_o_i  : inout  std_logic ;
220
      sel_alu_out_o_i : inout  std_logic_vector ( 2 downto 0 );
221
      sel_pc_as_o_i   : inout  std_logic ;
222
      sel_pc_in_o_i   : inout  std_logic_vector ( 1 downto 0 );
223
      sel_pc_val_o_i  : inout  std_logic_vector ( 1 downto 0 );
224
      sel_rb_in_o_i   : inout  std_logic_vector ( 2 downto 0 );
225
      sel_rb_out_o_i  : inout  std_logic_vector ( 2 downto 0 );
226
      sel_reg_o_i     : inout  std_logic_vector ( 1 downto 0 );
227
      sel_sp_as_o_i   : inout  std_logic ;
228
      sel_sp_in_o_i   : inout  std_logic_vector ( 1 downto 0 );
229
      sel_sp_val_o_i  : inout  std_logic_vector ( 1 downto 0 )
230
   );
231
   end component;
232 5 fpga_is_fu
   component fsm_nmi
233
   port (
234
      clk_clk_i   : in     std_logic ;
235
      fetch_i     : in     std_logic ;
236
      nmi_n_i     : in     std_logic ;
237
      rst_rst_n_i : in     std_logic ;
238
      nmi_o       : out    std_logic
239
   );
240
   end component;
241 2 fpga_is_fu
 
242
   -- Optional embedded configurations
243
   -- pragma synthesis_off
244
   for all : ALU use entity R6502_TC.ALU;
245
   for all : RegBank_AXY use entity R6502_TC.RegBank_AXY;
246
   for all : Reg_PC use entity R6502_TC.Reg_PC;
247
   for all : Reg_SP use entity R6502_TC.Reg_SP;
248
   for all : fsm_core_V2_0 use entity R6502_TC.fsm_core_V2_0;
249 5 fpga_is_fu
   for all : fsm_nmi use entity R6502_TC.fsm_nmi;
250 2 fpga_is_fu
   -- pragma synthesis_on
251
 
252
 
253
begin
254
 
255
   -- ModuleWare code(v1.9) for instance 'U_5' of 'inv'
256
   rst_rst_int_o_i <= not(rst_rst_n_i);
257
 
258
   -- Instance port mappings.
259
   U_3 : ALU
260
      port map (
261
         ch_a_i           => ch_a_o_i,
262
         ch_b_i           => ch_b_o_i,
263
         reg_0flag_core_i => reg_0flag_core_o_i,
264
         reg_3flag_core_i => reg_3flag_core_o_i,
265
         reg_7flag_core_i => reg_7flag_core_o_i,
266
         sel_alu_as_i     => sel_alu_as_o_i,
267
         sel_alu_out_i    => sel_alu_out_o_i,
268
         d_alu_o          => d_alu_o_i,
269
         reg_0flag_o      => reg_0flag_o_i,
270
         reg_1flag_o      => reg_1flag_o_i,
271
         reg_6flag_o      => reg_6flag_o_i,
272
         reg_7flag_o      => reg_7flag_o_i
273
      );
274
   U_2 : RegBank_AXY
275
      port map (
276
         clk_clk_i    => clk_clk_i,
277
         d_regs_in_i  => d_regs_in_o_i,
278
         load_regs_i  => load_regs_o_i,
279
         rst_rst_i    => rst_rst_int_o_i,
280
         sel_rb_in_i  => sel_rb_in_o_i,
281
         sel_rb_out_i => sel_rb_out_o_i(1 DOWNTO 0),
282
         sel_reg_i    => sel_reg_o_i,
283
         d_regs_out_o => d_regs_out_o_i,
284
         q_a_o        => q_a_o_i,
285
         q_x_o        => q_x_o_i,
286
         q_y_o        => q_y_o_i
287
      );
288
   U_0 : Reg_PC
289
      port map (
290
         adr_i        => adr_o_i,
291
         clk_clk_i    => clk_clk_i,
292
         ld_i         => ld_o_i,
293
         ld_pc_i      => ld_pc_o_i,
294
         offset_i     => offset_o_i,
295
         rst_rst_i    => rst_rst_int_o_i,
296
         sel_pc_as_i  => sel_pc_as_o_i,
297
         sel_pc_in_i  => sel_pc_in_o_i(0),
298
         sel_pc_val_i => sel_pc_val_o_i,
299
         adr_nxt_pc_o => adr_nxt_pc_o_i,
300
         adr_pc_o     => adr_pc_o_i,
301
         cout_pc_o    => cout_pc_o_i
302
      );
303
   U_1 : Reg_SP
304
      port map (
305
         adr_i        => adr_o_i,
306
         clk_clk_i    => clk_clk_i,
307
         ld_i         => ld_o_i,
308
         ld_sp_i      => ld_sp_o_i,
309
         rst_rst_i    => rst_rst_int_o_i,
310
         sel_sp_as_i  => sel_sp_as_o_i,
311
         sel_sp_in_i  => sel_sp_in_o_i(0),
312
         sel_sp_val_i => sel_sp_val_o_i(0),
313
         adr_nxt_sp_o => adr_nxt_sp_o_i,
314
         adr_sp_o     => adr_sp_o_i
315
      );
316
   U_4 : fsm_core_V2_0
317
      port map (
318
         adr_nxt_pc_i    => adr_nxt_pc_o_i,
319
         adr_nxt_sp_i    => adr_nxt_sp_o_i,
320
         adr_pc_i        => adr_pc_o_i,
321
         adr_sp_i        => adr_sp_o_i,
322
         clk_clk_i       => clk_clk_i,
323
         cout_pc_i       => cout_pc_o_i,
324
         d_alu_i         => d_alu_o_i,
325
         d_i             => d_i,
326
         d_regs_out_i    => d_regs_out_o_i,
327
         irq_n_i         => irq_n_i,
328 5 fpga_is_fu
         nmi_i           => nmi_o_i,
329 2 fpga_is_fu
         q_a_i           => q_a_o_i,
330
         q_x_i           => q_x_o_i,
331
         q_y_i           => q_y_o_i,
332
         rdy_i           => rdy_i,
333
         reg_0flag_i     => reg_0flag_o_i,
334
         reg_1flag_i     => reg_1flag_o_i,
335
         reg_6flag_i     => reg_6flag_o_i,
336
         reg_7flag_i     => reg_7flag_o_i,
337
         rst_rst_n_i     => rst_rst_n_i,
338
         so_n_i          => so_n_i,
339
         a_o             => a_o,
340
         adr_o           => adr_o_i,
341
         ch_a_o          => ch_a_o_i,
342
         ch_b_o          => ch_b_o_i,
343
         d_o             => d_o,
344
         d_regs_in_o     => d_regs_in_o_i,
345 5 fpga_is_fu
         fetch_o         => fetch_o_i,
346 2 fpga_is_fu
         ld_o            => ld_o_i,
347
         ld_pc_o         => ld_pc_o_i,
348
         ld_sp_o         => ld_sp_o_i,
349
         load_regs_o     => load_regs_o_i,
350
         offset_o        => offset_o_i,
351
         rd_o            => rd_o,
352
         reg_0flag_o     => reg_0flag_core_o_i,
353
         reg_1flag_o     => open,
354
         reg_3flag_o     => reg_3flag_core_o_i,
355
         reg_7flag_o     => reg_7flag_core_o_i,
356
         sync_o          => sync_o,
357
         wr_n_o          => wr_n_o,
358
         wr_o            => wr_o,
359
         sel_alu_as_o_i  => sel_alu_as_o_i,
360
         sel_alu_out_o_i => sel_alu_out_o_i,
361
         sel_pc_as_o_i   => sel_pc_as_o_i,
362
         sel_pc_in_o_i   => sel_pc_in_o_i,
363
         sel_pc_val_o_i  => sel_pc_val_o_i,
364
         sel_rb_in_o_i   => sel_rb_in_o_i,
365
         sel_rb_out_o_i  => sel_rb_out_o_i,
366
         sel_reg_o_i     => sel_reg_o_i,
367
         sel_sp_as_o_i   => sel_sp_as_o_i,
368
         sel_sp_in_o_i   => sel_sp_in_o_i,
369
         sel_sp_val_o_i  => sel_sp_val_o_i
370
      );
371 5 fpga_is_fu
   U_6 : fsm_nmi
372
      port map (
373
         clk_clk_i   => clk_clk_i,
374
         fetch_i     => fetch_o_i,
375
         nmi_n_i     => nmi_n_i,
376
         rst_rst_n_i => rst_rst_n_i,
377
         nmi_o       => nmi_o_i
378
      );
379 2 fpga_is_fu
 
380
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.