OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [r6502_tc.vhd] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.R6502_TC.symbol
2
--
3
-- Created:
4
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
5 11 fpga_is_fu
--          at - 22:53:22 04.01.2009
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13
entity R6502_TC is
14
   port(
15
      clk_clk_i   : in     std_logic;
16
      d_i         : in     std_logic_vector (7 downto 0);
17
      irq_n_i     : in     std_logic;
18
      nmi_n_i     : in     std_logic;
19
      rdy_i       : in     std_logic;
20
      rst_rst_n_i : in     std_logic;
21
      so_n_i      : in     std_logic;
22
      a_o         : out    std_logic_vector (15 downto 0);
23
      d_o         : out    std_logic_vector (7 downto 0);
24
      rd_o        : out    std_logic;
25
      sync_o      : out    std_logic;
26
      wr_n_o      : out    std_logic;
27
      wr_o        : out    std_logic
28
   );
29
 
30
-- Declarations
31
 
32
end R6502_TC ;
33
 
34
-- Jens-D. Gutschmidt     Project:  R6502_TC  
35
-- scantara2003@yahoo.de                      
36
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG                                                                                     
37
--                                                                                                                                             
38
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
39
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
40
--                                                                                                                                             
41
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
42
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
43
--                                                                                                                                             
44
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
45
--                                                                                                                                             
46
-- CVS Revisins History                                                                                                                        
47
--                                                                                                                                             
48 11 fpga_is_fu
-- $Log: not supported by cvs2svn $                                                                                                                         
49
--   <<-- more -->>                                                                                                                            
50
-- Title:  Top Level  
51 2 fpga_is_fu
-- Path:  R6502_TC/R6502_TC/struct  
52 11 fpga_is_fu
-- Edited:  by eda on 04 Jan 2009  
53 2 fpga_is_fu
--
54
-- VHDL Architecture R6502_TC.R6502_TC.struct
55
--
56
-- Created:
57
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
58 11 fpga_is_fu
--          at - 22:53:22 04.01.2009
59 2 fpga_is_fu
--
60
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
61
--
62
LIBRARY ieee;
63
USE ieee.std_logic_1164.all;
64
 
65
library R6502_TC;
66
 
67
architecture struct of R6502_TC is
68
 
69
   -- Architecture declarations
70
 
71
   -- Internal signal declarations
72
 
73
 
74
   -- Component Declarations
75
   component Core
76
   port (
77
      clk_clk_i   : in     std_logic ;
78
      d_i         : in     std_logic_vector (7 downto 0);
79
      irq_n_i     : in     std_logic ;
80 5 fpga_is_fu
      nmi_n_i     : in     std_logic ;
81 2 fpga_is_fu
      rdy_i       : in     std_logic ;
82
      rst_rst_n_i : in     std_logic ;
83
      so_n_i      : in     std_logic ;
84
      a_o         : out    std_logic_vector (15 downto 0);
85
      d_o         : out    std_logic_vector (7 downto 0);
86
      rd_o        : out    std_logic ;
87
      sync_o      : out    std_logic ;
88
      wr_n_o      : out    std_logic ;
89
      wr_o        : out    std_logic
90
   );
91
   end component;
92
 
93
   -- Optional embedded configurations
94
   -- pragma synthesis_off
95
   for all : Core use entity R6502_TC.Core;
96
   -- pragma synthesis_on
97
 
98
 
99
begin
100
 
101
   -- Instance port mappings.
102
   U_0 : Core
103
      port map (
104
         clk_clk_i   => clk_clk_i,
105
         d_i         => d_i,
106
         irq_n_i     => irq_n_i,
107 5 fpga_is_fu
         nmi_n_i     => nmi_n_i,
108 2 fpga_is_fu
         rdy_i       => rdy_i,
109
         rst_rst_n_i => rst_rst_n_i,
110
         so_n_i      => so_n_i,
111
         a_o         => a_o,
112
         d_o         => d_o,
113
         rd_o        => rd_o,
114
         sync_o      => sync_o,
115
         wr_n_o      => wr_n_o,
116
         wr_o        => wr_o
117
      );
118
 
119
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.