OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [r6502_tc.vhd] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.R6502_TC.symbol
2
--
3
-- Created:
4 14 fpga_is_fu
--          by - eda.UNKNOWN (TEST)
5
--          at - 19:21:55 07.01.2009
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 14 fpga_is_fu
ENTITY R6502_TC IS
14
   PORT(
15
      clk_clk_i   : IN     std_logic;
16
      d_i         : IN     std_logic_vector (7 DOWNTO 0);
17
      irq_n_i     : IN     std_logic;
18
      nmi_n_i     : IN     std_logic;
19
      rdy_i       : IN     std_logic;
20
      rst_rst_n_i : IN     std_logic;
21
      so_n_i      : IN     std_logic;
22
      a_o         : OUT    std_logic_vector (15 DOWNTO 0);
23
      d_o         : OUT    std_logic_vector (7 DOWNTO 0);
24
      rd_o        : OUT    std_logic;
25
      sync_o      : OUT    std_logic;
26
      wr_n_o      : OUT    std_logic;
27
      wr_o        : OUT    std_logic
28 2 fpga_is_fu
   );
29
 
30
-- Declarations
31
 
32 14 fpga_is_fu
END R6502_TC ;
33 2 fpga_is_fu
 
34
-- Jens-D. Gutschmidt     Project:  R6502_TC  
35
-- scantara2003@yahoo.de                      
36
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG                                                                                     
37
--                                                                                                                                             
38
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
39
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
40
--                                                                                                                                             
41
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
42
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
43
--                                                                                                                                             
44
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
45
--                                                                                                                                             
46
-- CVS Revisins History                                                                                                                        
47
--                                                                                                                                             
48 11 fpga_is_fu
-- $Log: not supported by cvs2svn $                                                                                                                         
49
--   <<-- more -->>                                                                                                                            
50
-- Title:  Top Level  
51 2 fpga_is_fu
-- Path:  R6502_TC/R6502_TC/struct  
52 11 fpga_is_fu
-- Edited:  by eda on 04 Jan 2009  
53 2 fpga_is_fu
--
54
-- VHDL Architecture R6502_TC.R6502_TC.struct
55
--
56
-- Created:
57 14 fpga_is_fu
--          by - eda.UNKNOWN (TEST)
58
--          at - 19:21:55 07.01.2009
59 2 fpga_is_fu
--
60
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
61
--
62
LIBRARY ieee;
63
USE ieee.std_logic_1164.all;
64
 
65
 
66 14 fpga_is_fu
ARCHITECTURE struct OF R6502_TC IS
67 2 fpga_is_fu
 
68
   -- Architecture declarations
69
 
70
   -- Internal signal declarations
71
 
72
 
73
   -- Component Declarations
74 14 fpga_is_fu
   COMPONENT Core
75
   PORT (
76
      clk_clk_i   : IN     std_logic ;
77
      d_i         : IN     std_logic_vector (7 DOWNTO 0);
78
      irq_n_i     : IN     std_logic ;
79
      nmi_n_i     : IN     std_logic ;
80
      rdy_i       : IN     std_logic ;
81
      rst_rst_n_i : IN     std_logic ;
82
      so_n_i      : IN     std_logic ;
83
      a_o         : OUT    std_logic_vector (15 DOWNTO 0);
84
      d_o         : OUT    std_logic_vector (7 DOWNTO 0);
85
      rd_o        : OUT    std_logic ;
86
      sync_o      : OUT    std_logic ;
87
      wr_n_o      : OUT    std_logic ;
88
      wr_o        : OUT    std_logic
89 2 fpga_is_fu
   );
90 14 fpga_is_fu
   END COMPONENT;
91 2 fpga_is_fu
 
92
 
93 14 fpga_is_fu
BEGIN
94 2 fpga_is_fu
 
95
   -- Instance port mappings.
96
   U_0 : Core
97 14 fpga_is_fu
      PORT MAP (
98 2 fpga_is_fu
         clk_clk_i   => clk_clk_i,
99
         d_i         => d_i,
100
         irq_n_i     => irq_n_i,
101 5 fpga_is_fu
         nmi_n_i     => nmi_n_i,
102 2 fpga_is_fu
         rdy_i       => rdy_i,
103
         rst_rst_n_i => rst_rst_n_i,
104
         so_n_i      => so_n_i,
105
         a_o         => a_o,
106
         d_o         => d_o,
107
         rd_o        => rd_o,
108
         sync_o      => sync_o,
109
         wr_n_o      => wr_n_o,
110
         wr_o        => wr_o
111
      );
112
 
113 14 fpga_is_fu
END struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.