OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [r6502_tc.vhd] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.R6502_TC.symbol
2
--
3
-- Created:
4 15 fpga_is_fu
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
5
--          at - 11:47:57 23.02.2009
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 15 fpga_is_fu
entity R6502_TC is
14
   port(
15
      clk_clk_i   : in     std_logic;
16
      d_i         : in     std_logic_vector (7 downto 0);
17
      irq_n_i     : in     std_logic;
18
      nmi_n_i     : in     std_logic;
19
      rdy_i       : in     std_logic;
20
      rst_rst_n_i : in     std_logic;
21
      so_n_i      : in     std_logic;
22
      a_o         : out    std_logic_vector (15 downto 0);
23
      d_o         : out    std_logic_vector (7 downto 0);
24
      rd_o        : out    std_logic;
25
      sync_o      : out    std_logic;
26
      wr_o        : out    std_logic
27 2 fpga_is_fu
   );
28
 
29
-- Declarations
30
 
31 15 fpga_is_fu
end R6502_TC ;
32 2 fpga_is_fu
 
33
-- Jens-D. Gutschmidt     Project:  R6502_TC  
34
-- scantara2003@yahoo.de                      
35 15 fpga_is_fu
-- COPYRIGHT (C) 2008-2009 by Jens Gutschmidt and OPENCORES.ORG                                                                                
36 2 fpga_is_fu
--                                                                                                                                             
37
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
38
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
39
--                                                                                                                                             
40
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
41
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
42
--                                                                                                                                             
43
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
44
--                                                                                                                                             
45
-- CVS Revisins History                                                                                                                        
46
--                                                                                                                                             
47 11 fpga_is_fu
-- $Log: not supported by cvs2svn $                                                                                                                         
48
--   <<-- more -->>                                                                                                                            
49
-- Title:  Top Level  
50 2 fpga_is_fu
-- Path:  R6502_TC/R6502_TC/struct  
51 15 fpga_is_fu
-- Edited:  by eda on 10 Feb 2009  
52 2 fpga_is_fu
--
53
-- VHDL Architecture R6502_TC.R6502_TC.struct
54
--
55
-- Created:
56 15 fpga_is_fu
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
57
--          at - 11:47:58 23.02.2009
58 2 fpga_is_fu
--
59
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
60
--
61
LIBRARY ieee;
62
USE ieee.std_logic_1164.all;
63
 
64 15 fpga_is_fu
library R6502_TC;
65 2 fpga_is_fu
 
66 15 fpga_is_fu
architecture struct of R6502_TC is
67 2 fpga_is_fu
 
68
   -- Architecture declarations
69
 
70
   -- Internal signal declarations
71
 
72
 
73
   -- Component Declarations
74 15 fpga_is_fu
   component Core
75
   port (
76
      clk_clk_i   : in     std_logic ;
77
      d_i         : in     std_logic_vector (7 downto 0);
78
      irq_n_i     : in     std_logic ;
79
      nmi_n_i     : in     std_logic ;
80
      rdy_i       : in     std_logic ;
81
      rst_rst_n_i : in     std_logic ;
82
      so_n_i      : in     std_logic ;
83
      a_o         : out    std_logic_vector (15 downto 0);
84
      d_o         : out    std_logic_vector (7 downto 0);
85
      rd_o        : out    std_logic ;
86
      sync_o      : out    std_logic ;
87
      wr_o        : out    std_logic
88 2 fpga_is_fu
   );
89 15 fpga_is_fu
   end component;
90 2 fpga_is_fu
 
91 15 fpga_is_fu
   -- Optional embedded configurations
92
   -- pragma synthesis_off
93
   for all : Core use entity R6502_TC.Core;
94
   -- pragma synthesis_on
95 2 fpga_is_fu
 
96
 
97 15 fpga_is_fu
begin
98
 
99 2 fpga_is_fu
   -- Instance port mappings.
100
   U_0 : Core
101 15 fpga_is_fu
      port map (
102 2 fpga_is_fu
         clk_clk_i   => clk_clk_i,
103
         d_i         => d_i,
104
         irq_n_i     => irq_n_i,
105 5 fpga_is_fu
         nmi_n_i     => nmi_n_i,
106 2 fpga_is_fu
         rdy_i       => rdy_i,
107
         rst_rst_n_i => rst_rst_n_i,
108
         so_n_i      => so_n_i,
109
         a_o         => a_o,
110
         d_o         => d_o,
111
         rd_o        => rd_o,
112
         sync_o      => sync_o,
113
         wr_o        => wr_o
114
      );
115
 
116 15 fpga_is_fu
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.