OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [r6502_tc.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.R6502_TC.symbol
2
--
3
-- Created:
4
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
5
--          at - 19:07:11 08.04.2008
6
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13
entity R6502_TC is
14
   port(
15
      clk_clk_i   : in     std_logic;
16
      d_i         : in     std_logic_vector (7 downto 0);
17
      irq_n_i     : in     std_logic;
18
      nmi_n_i     : in     std_logic;
19
      rdy_i       : in     std_logic;
20
      rst_rst_n_i : in     std_logic;
21
      so_n_i      : in     std_logic;
22
      a_o         : out    std_logic_vector (15 downto 0);
23
      d_o         : out    std_logic_vector (7 downto 0);
24
      rd_o        : out    std_logic;
25
      sync_o      : out    std_logic;
26
      wr_n_o      : out    std_logic;
27
      wr_o        : out    std_logic
28
   );
29
 
30
-- Declarations
31
 
32
end R6502_TC ;
33
 
34
-- Jens-D. Gutschmidt     Project:  R6502_TC  
35
-- scantara2003@yahoo.de                      
36
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG                                                                                     
37
--                                                                                                                                             
38
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
39
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
40
--                                                                                                                                             
41
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
42
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
43
--                                                                                                                                             
44
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
45
--                                                                                                                                             
46
-- CVS Revisins History                                                                                                                        
47
--                                                                                                                                             
48
-- $Log: not supported by cvs2svn $                                                                                                                                       
49
--                                                                                                                                             
50
-- Title:  Top Level for the 6502 Core  
51
-- Path:  R6502_TC/R6502_TC/struct  
52
-- Edited:  by eda on 08 Apr 2008  
53
--
54
-- VHDL Architecture R6502_TC.R6502_TC.struct
55
--
56
-- Created:
57
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
58
--          at - 19:07:11 08.04.2008
59
--
60
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
61
--
62
LIBRARY ieee;
63
USE ieee.std_logic_1164.all;
64
 
65
library R6502_TC;
66
 
67
architecture struct of R6502_TC is
68
 
69
   -- Architecture declarations
70
 
71
   -- Internal signal declarations
72
   signal nmi_i : std_logic;
73
 
74
 
75
   -- Component Declarations
76
   component Core
77
   port (
78
      clk_clk_i   : in     std_logic ;
79
      d_i         : in     std_logic_vector (7 downto 0);
80
      irq_n_i     : in     std_logic ;
81
      nmi_i       : in     std_logic ;
82
      rdy_i       : in     std_logic ;
83
      rst_rst_n_i : in     std_logic ;
84
      so_n_i      : in     std_logic ;
85
      a_o         : out    std_logic_vector (15 downto 0);
86
      d_o         : out    std_logic_vector (7 downto 0);
87
      rd_o        : out    std_logic ;
88
      sync_o      : out    std_logic ;
89
      wr_n_o      : out    std_logic ;
90
      wr_o        : out    std_logic
91
   );
92
   end component;
93
   component fsm_nmi
94
   port (
95
      clk_clk_i   : in     std_logic ;
96
      nmi_n_i     : in     std_logic ;
97
      rst_rst_n_i : in     std_logic ;
98
      nmi_o       : out    std_logic
99
   );
100
   end component;
101
 
102
   -- Optional embedded configurations
103
   -- pragma synthesis_off
104
   for all : Core use entity R6502_TC.Core;
105
   for all : fsm_nmi use entity R6502_TC.fsm_nmi;
106
   -- pragma synthesis_on
107
 
108
 
109
begin
110
 
111
   -- Instance port mappings.
112
   U_0 : Core
113
      port map (
114
         clk_clk_i   => clk_clk_i,
115
         d_i         => d_i,
116
         irq_n_i     => irq_n_i,
117
         nmi_i       => nmi_i,
118
         rdy_i       => rdy_i,
119
         rst_rst_n_i => rst_rst_n_i,
120
         so_n_i      => so_n_i,
121
         a_o         => a_o,
122
         d_o         => d_o,
123
         rd_o        => rd_o,
124
         sync_o      => sync_o,
125
         wr_n_o      => wr_n_o,
126
         wr_o        => wr_o
127
      );
128
   U_3 : fsm_nmi
129
      port map (
130
         clk_clk_i   => clk_clk_i,
131
         nmi_n_i     => nmi_n_i,
132
         rst_rst_n_i => rst_rst_n_i,
133
         nmi_o       => nmi_i
134
      );
135
 
136
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.