OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [r6502_tc.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.R6502_TC.symbol
2
--
3
-- Created:
4
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
5 5 fpga_is_fu
--          at - 19:49:04 17.04.2008
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13
entity R6502_TC is
14
   port(
15
      clk_clk_i   : in     std_logic;
16
      d_i         : in     std_logic_vector (7 downto 0);
17
      irq_n_i     : in     std_logic;
18
      nmi_n_i     : in     std_logic;
19
      rdy_i       : in     std_logic;
20
      rst_rst_n_i : in     std_logic;
21
      so_n_i      : in     std_logic;
22
      a_o         : out    std_logic_vector (15 downto 0);
23
      d_o         : out    std_logic_vector (7 downto 0);
24
      rd_o        : out    std_logic;
25
      sync_o      : out    std_logic;
26
      wr_n_o      : out    std_logic;
27
      wr_o        : out    std_logic
28
   );
29
 
30
-- Declarations
31
 
32
end R6502_TC ;
33
 
34
-- Jens-D. Gutschmidt     Project:  R6502_TC  
35
-- scantara2003@yahoo.de                      
36
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG                                                                                     
37
--                                                                                                                                             
38
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
39
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
40
--                                                                                                                                             
41
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
42
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
43
--                                                                                                                                             
44
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
45
--                                                                                                                                             
46
-- CVS Revisins History                                                                                                                        
47
--                                                                                                                                             
48
-- $Log: not supported by cvs2svn $                                                                                                                                       
49
-- Title:  Top Level for the 6502 Core  
50
-- Path:  R6502_TC/R6502_TC/struct  
51 5 fpga_is_fu
-- Edited:  by eda on 17 Apr 2008  
52 2 fpga_is_fu
--
53
-- VHDL Architecture R6502_TC.R6502_TC.struct
54
--
55
-- Created:
56
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
57 5 fpga_is_fu
--          at - 19:49:04 17.04.2008
58 2 fpga_is_fu
--
59
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
60
--
61
LIBRARY ieee;
62
USE ieee.std_logic_1164.all;
63
 
64
library R6502_TC;
65
 
66
architecture struct of R6502_TC is
67
 
68
   -- Architecture declarations
69
 
70
   -- Internal signal declarations
71
 
72
 
73
   -- Component Declarations
74
   component Core
75
   port (
76
      clk_clk_i   : in     std_logic ;
77
      d_i         : in     std_logic_vector (7 downto 0);
78
      irq_n_i     : in     std_logic ;
79 5 fpga_is_fu
      nmi_n_i     : in     std_logic ;
80 2 fpga_is_fu
      rdy_i       : in     std_logic ;
81
      rst_rst_n_i : in     std_logic ;
82
      so_n_i      : in     std_logic ;
83
      a_o         : out    std_logic_vector (15 downto 0);
84
      d_o         : out    std_logic_vector (7 downto 0);
85
      rd_o        : out    std_logic ;
86
      sync_o      : out    std_logic ;
87
      wr_n_o      : out    std_logic ;
88
      wr_o        : out    std_logic
89
   );
90
   end component;
91
 
92
   -- Optional embedded configurations
93
   -- pragma synthesis_off
94
   for all : Core use entity R6502_TC.Core;
95
   -- pragma synthesis_on
96
 
97
 
98
begin
99
 
100
   -- Instance port mappings.
101
   U_0 : Core
102
      port map (
103
         clk_clk_i   => clk_clk_i,
104
         d_i         => d_i,
105
         irq_n_i     => irq_n_i,
106 5 fpga_is_fu
         nmi_n_i     => nmi_n_i,
107 2 fpga_is_fu
         rdy_i       => rdy_i,
108
         rst_rst_n_i => rst_rst_n_i,
109
         so_n_i      => so_n_i,
110
         a_o         => a_o,
111
         d_o         => d_o,
112
         rd_o        => rd_o,
113
         sync_o      => sync_o,
114
         wr_n_o      => wr_n_o,
115
         wr_o        => wr_o
116
      );
117
 
118
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.