OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [reg_pc.vhd] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.Reg_PC.symbol
2
--
3
-- Created:
4 14 fpga_is_fu
--          by - eda.UNKNOWN (TEST)
5 15 fpga_is_fu
--          at - 19:25:31 10.02.2009
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13 14 fpga_is_fu
ENTITY Reg_PC IS
14
   PORT(
15
      adr_i        : IN     std_logic_vector (15 DOWNTO 0);
16
      clk_clk_i    : IN     std_logic;
17
      ld_i         : IN     std_logic_vector (1 DOWNTO 0);
18
      ld_pc_i      : IN     std_logic;
19
      offset_i     : IN     std_logic_vector (15 DOWNTO 0);
20
      rst_rst_n_i  : IN     std_logic;
21
      sel_pc_in_i  : IN     std_logic;
22
      sel_pc_val_i : IN     std_logic_vector (1 DOWNTO 0);
23
      adr_nxt_pc_o : OUT    std_logic_vector (15 DOWNTO 0);
24
      adr_pc_o     : OUT    std_logic_vector (15 DOWNTO 0)
25 2 fpga_is_fu
   );
26
 
27
-- Declarations
28
 
29 14 fpga_is_fu
END Reg_PC ;
30 2 fpga_is_fu
 
31
-- Jens-D. Gutschmidt     Project:  R6502_TC  
32
-- scantara2003@yahoo.de                      
33 15 fpga_is_fu
-- COPYRIGHT (C) 2008-2009 by Jens Gutschmidt and OPENCORES.ORG                                                                                
34 2 fpga_is_fu
--                                                                                                                                             
35
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
36
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
37
--                                                                                                                                             
38
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
39
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
40
--                                                                                                                                             
41
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
42
--                                                                                                                                             
43
-- CVS Revisins History                                                                                                                        
44
--                                                                                                                                             
45 11 fpga_is_fu
-- $Log: not supported by cvs2svn $                                                                                                                         
46
--   <<-- more -->>                                                                                                                            
47 2 fpga_is_fu
-- Title:  Program Counter Logic  
48
-- Path:  R6502_TC/Reg_PC/struct  
49 15 fpga_is_fu
-- Edited:  by eda on 10 Feb 2009  
50 2 fpga_is_fu
--
51
-- VHDL Architecture R6502_TC.Reg_PC.struct
52
--
53
-- Created:
54 14 fpga_is_fu
--          by - eda.UNKNOWN (TEST)
55 15 fpga_is_fu
--          at - 19:25:32 10.02.2009
56 2 fpga_is_fu
--
57
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
58
--
59
LIBRARY ieee;
60
USE ieee.std_logic_1164.all;
61
USE ieee.std_logic_arith.all;
62
 
63
 
64 14 fpga_is_fu
ARCHITECTURE struct OF Reg_PC IS
65 2 fpga_is_fu
 
66
   -- Architecture declarations
67
 
68
   -- Internal signal declarations
69 14 fpga_is_fu
   SIGNAL adr_pc_high_o_i : std_logic_vector(7 DOWNTO 0);
70
   SIGNAL adr_pc_low_o_i  : std_logic_vector(7 DOWNTO 0);
71
   SIGNAL adr_pc_o_i      : std_logic_vector(15 DOWNTO 0);
72
   SIGNAL ci_o_i          : std_logic;
73
   SIGNAL cout_pc_o_i     : std_logic;
74
   SIGNAL load3_o_i       : std_logic;
75
   SIGNAL load_o_i        : std_logic;
76
   SIGNAL offset_high_o_i : std_logic_vector(7 DOWNTO 0);
77
   SIGNAL offset_low_o_i  : std_logic_vector(7 DOWNTO 0);
78
   SIGNAL val_o_i         : std_logic_vector(7 DOWNTO 0);
79
   SIGNAL val_one         : std_logic_vector(7 DOWNTO 0);
80
   SIGNAL val_zero        : std_logic_vector(7 DOWNTO 0);
81 2 fpga_is_fu
 
82
   -- Implicit buffer signal declarations
83 14 fpga_is_fu
   SIGNAL adr_pc_o_internal     : std_logic_vector (15 DOWNTO 0);
84
   SIGNAL adr_nxt_pc_o_internal : std_logic_vector (15 DOWNTO 0);
85 2 fpga_is_fu
 
86
 
87
   -- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff'
88 14 fpga_is_fu
   SIGNAL mw_U_0reg_cval : std_logic_vector(7 DOWNTO 0);
89 2 fpga_is_fu
 
90
   -- ModuleWare signal declarations(v1.9) for instance 'U_4' of 'adff'
91 14 fpga_is_fu
   SIGNAL mw_U_4reg_cval : std_logic_vector(7 DOWNTO 0);
92 2 fpga_is_fu
 
93 14 fpga_is_fu
   -- ModuleWare signal declarations(v1.9) for instance 'U_3' of 'split'
94
   SIGNAL mw_U_3temp_din : std_logic_vector(15 DOWNTO 0);
95 2 fpga_is_fu
 
96 14 fpga_is_fu
   -- ModuleWare signal declarations(v1.9) for instance 'U_5' of 'split'
97
   SIGNAL mw_U_5temp_din : std_logic_vector(15 DOWNTO 0);
98 2 fpga_is_fu
 
99 14 fpga_is_fu
 
100
BEGIN
101
 
102
   -- ModuleWare code(v1.9) for instance 'U_2' of 'add'
103
   u_2combo_proc: PROCESS (adr_pc_low_o_i, val_o_i)
104
   VARIABLE temp_din0 : std_logic_vector(8 DOWNTO 0);
105
   VARIABLE temp_din1 : std_logic_vector(8 DOWNTO 0);
106
   VARIABLE temp_sum : unsigned(8 DOWNTO 0);
107
   VARIABLE temp_carry : std_logic;
108
   BEGIN
109
      temp_din0 := '0' & adr_pc_low_o_i;
110
      temp_din1 := '0' & val_o_i;
111 11 fpga_is_fu
      temp_carry := '0';
112 14 fpga_is_fu
      temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
113
      adr_nxt_pc_o_internal(7 DOWNTO 0) <= conv_std_logic_vector(temp_sum(7 DOWNTO 0),8);
114
      cout_pc_o_i <= temp_sum(8) ;
115
   END PROCESS u_2combo_proc;
116 2 fpga_is_fu
 
117 14 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_11' of 'add'
118
   u_11combo_proc: PROCESS (adr_pc_high_o_i, offset_high_o_i, ci_o_i)
119
   VARIABLE temp_din0 : std_logic_vector(8 DOWNTO 0);
120
   VARIABLE temp_din1 : std_logic_vector(8 DOWNTO 0);
121
   VARIABLE temp_sum : unsigned(8 DOWNTO 0);
122
   VARIABLE temp_carry : std_logic;
123
   BEGIN
124
      temp_din0 := '0' & adr_pc_high_o_i;
125
      temp_din1 := '0' & offset_high_o_i;
126 11 fpga_is_fu
      temp_carry := ci_o_i;
127 14 fpga_is_fu
      temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
128
      adr_nxt_pc_o_internal(15 DOWNTO 8) <= conv_std_logic_vector(temp_sum(7 DOWNTO 0),8);
129
   END PROCESS u_11combo_proc;
130 2 fpga_is_fu
 
131
   -- ModuleWare code(v1.9) for instance 'U_0' of 'adff'
132
   adr_pc_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
133 14 fpga_is_fu
   u_0seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
134
   BEGIN
135
      IF (rst_rst_n_i = '0' OR rst_rst_n_i = 'L') THEN
136 2 fpga_is_fu
         mw_U_0reg_cval <= "00000000";
137 14 fpga_is_fu
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
138
         IF (load_o_i = '1' OR load_o_i = 'H') THEN
139 11 fpga_is_fu
            mw_U_0reg_cval <= adr_nxt_pc_o_internal(7 DOWNTO 0);
140 14 fpga_is_fu
         END IF;
141
      END IF;
142
   END PROCESS u_0seq_proc;
143 2 fpga_is_fu
 
144
   -- ModuleWare code(v1.9) for instance 'U_4' of 'adff'
145
   adr_pc_o_internal(15 DOWNTO 8) <= mw_U_4reg_cval;
146 14 fpga_is_fu
   u_4seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
147
   BEGIN
148
      IF (rst_rst_n_i = '0' OR rst_rst_n_i = 'L') THEN
149 2 fpga_is_fu
         mw_U_4reg_cval <= "00000000";
150 14 fpga_is_fu
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
151
         IF (load3_o_i = '1' OR load3_o_i = 'H') THEN
152 11 fpga_is_fu
            mw_U_4reg_cval <= adr_nxt_pc_o_internal(15 DOWNTO 8);
153 14 fpga_is_fu
         END IF;
154
      END IF;
155
   END PROCESS u_4seq_proc;
156 2 fpga_is_fu
 
157
   -- ModuleWare code(v1.9) for instance 'U_6' of 'and'
158 14 fpga_is_fu
   load_o_i <= ld_pc_i AND ld_i(0);
159 2 fpga_is_fu
 
160
   -- ModuleWare code(v1.9) for instance 'U_7' of 'and'
161 14 fpga_is_fu
   load3_o_i <= ld_pc_i AND ld_i(1);
162 2 fpga_is_fu
 
163
   -- ModuleWare code(v1.9) for instance 'U_10' of 'and'
164 14 fpga_is_fu
   ci_o_i <= cout_pc_o_i AND ld_pc_i;
165 2 fpga_is_fu
 
166 11 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_1' of 'constval'
167
   val_zero <= "00000000";
168
 
169
   -- ModuleWare code(v1.9) for instance 'U_9' of 'constval'
170
   val_one <= "00000001";
171
 
172 2 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_8' of 'mux'
173 14 fpga_is_fu
   u_8combo_proc: PROCESS(adr_pc_o_internal, adr_i, sel_pc_in_i)
174
   BEGIN
175
      CASE sel_pc_in_i IS
176
      WHEN '0'|'L' => adr_pc_o_i <= adr_pc_o_internal;
177
      WHEN '1'|'H' => adr_pc_o_i <= adr_i;
178
      WHEN OTHERS => adr_pc_o_i <= (OTHERS => 'X');
179
      END CASE;
180
   END PROCESS u_8combo_proc;
181 2 fpga_is_fu
 
182 11 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_13' of 'mux'
183 14 fpga_is_fu
   u_13combo_proc: PROCESS(val_one, val_zero, offset_low_o_i,
184 11 fpga_is_fu
                           sel_pc_val_i)
185 14 fpga_is_fu
   BEGIN
186
      CASE sel_pc_val_i IS
187
      WHEN "00"|"L0"|"0L"|"LL" => val_o_i <= val_one;
188
      WHEN "01"|"L1"|"0H"|"LH" => val_o_i <= val_zero;
189
      WHEN "10"|"H0"|"1L"|"HL" => val_o_i <= offset_low_o_i;
190
      WHEN "11"|"H1"|"1H"|"HH" => val_o_i <= val_zero;
191
      WHEN OTHERS => val_o_i <= (OTHERS => 'X');
192
      END CASE;
193
   END PROCESS u_13combo_proc;
194 2 fpga_is_fu
 
195 11 fpga_is_fu
   -- ModuleWare code(v1.9) for instance 'U_3' of 'split'
196 14 fpga_is_fu
   mw_U_3temp_din <= adr_pc_o_i;
197
   u_3combo_proc: PROCESS (mw_U_3temp_din)
198
   VARIABLE temp_din: std_logic_vector(15 DOWNTO 0);
199
   BEGIN
200
      temp_din := mw_U_3temp_din(15 DOWNTO 0);
201
      adr_pc_low_o_i <= temp_din(7 DOWNTO 0);
202
      adr_pc_high_o_i <= temp_din(15 DOWNTO 8);
203
   END PROCESS u_3combo_proc;
204 11 fpga_is_fu
 
205
   -- ModuleWare code(v1.9) for instance 'U_5' of 'split'
206 14 fpga_is_fu
   mw_U_5temp_din <= offset_i;
207
   u_5combo_proc: PROCESS (mw_U_5temp_din)
208
   VARIABLE temp_din: std_logic_vector(15 DOWNTO 0);
209
   BEGIN
210
      temp_din := mw_U_5temp_din(15 DOWNTO 0);
211
      offset_low_o_i <= temp_din(7 DOWNTO 0);
212
      offset_high_o_i <= temp_din(15 DOWNTO 8);
213
   END PROCESS u_5combo_proc;
214 11 fpga_is_fu
 
215 2 fpga_is_fu
   -- Instance port mappings.
216
 
217
   -- Implicit buffered output assignments
218 11 fpga_is_fu
   adr_pc_o     <= adr_pc_o_internal;
219
   adr_nxt_pc_o <= adr_nxt_pc_o_internal;
220 2 fpga_is_fu
 
221 14 fpga_is_fu
END struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.