OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [reg_pc.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fpga_is_fu
-- VHDL Entity R6502_TC.Reg_PC.symbol
2
--
3
-- Created:
4
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
5 5 fpga_is_fu
--          at - 19:48:44 17.04.2008
6 2 fpga_is_fu
--
7
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
8
--
9
LIBRARY ieee;
10
USE ieee.std_logic_1164.all;
11
USE ieee.std_logic_arith.all;
12
 
13
entity Reg_PC is
14
   port(
15
      adr_i        : in     std_logic_vector (15 downto 0);
16
      clk_clk_i    : in     std_logic;
17
      ld_i         : in     std_logic_vector (1 downto 0);
18
      ld_pc_i      : in     std_logic;
19
      offset_i     : in     std_logic_vector (15 downto 0);
20
      rst_rst_i    : in     std_logic;
21
      sel_pc_as_i  : in     std_logic;
22
      sel_pc_in_i  : in     std_logic;
23
      sel_pc_val_i : in     std_logic_vector (1 downto 0);
24
      adr_nxt_pc_o : out    std_logic_vector (15 downto 0);
25
      adr_pc_o     : out    std_logic_vector (15 downto 0);
26
      cout_pc_o    : out    std_logic
27
   );
28
 
29
-- Declarations
30
 
31
end Reg_PC ;
32
 
33
-- Jens-D. Gutschmidt     Project:  R6502_TC  
34
-- scantara2003@yahoo.de                      
35
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG                                                                                     
36
--                                                                                                                                             
37
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
38
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
39
--                                                                                                                                             
40
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
41
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
42
--                                                                                                                                             
43
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
44
--                                                                                                                                             
45
-- CVS Revisins History                                                                                                                        
46
--                                                                                                                                             
47
-- $Log: not supported by cvs2svn $                                                                                                                                       
48
-- Title:  Program Counter Logic  
49
-- Path:  R6502_TC/Reg_PC/struct  
50 5 fpga_is_fu
-- Edited:  by eda on 17 Apr 2008  
51 2 fpga_is_fu
--
52
-- VHDL Architecture R6502_TC.Reg_PC.struct
53
--
54
-- Created:
55
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
56 5 fpga_is_fu
--          at - 19:48:44 17.04.2008
57 2 fpga_is_fu
--
58
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
59
--
60
LIBRARY ieee;
61
USE ieee.std_logic_1164.all;
62
USE ieee.std_logic_arith.all;
63
 
64
 
65
architecture struct of Reg_PC is
66
 
67
   -- Architecture declarations
68
 
69
   -- Internal signal declarations
70
   signal d        : std_logic_vector(7 downto 0);
71
   signal d1       : std_logic_vector(7 downto 0);
72
   signal dout     : std_logic;
73
   signal dout1    : std_logic_vector(7 downto 0);
74
   signal dout3    : std_logic;
75
   signal dout5    : std_logic_vector(7 downto 0);
76
   signal dout6    : std_logic_vector(7 downto 0);
77
   signal load     : std_logic;
78
   signal load3    : std_logic;
79
   signal val_one  : std_logic_vector(7 downto 0);
80
   signal val_two  : std_logic_vector(7 downto 0);
81
   signal val_zero : std_logic_vector(7 downto 0);
82
 
83
   -- Implicit buffer signal declarations
84
   signal adr_pc_o_internal  : std_logic_vector (15 downto 0);
85
   signal cout_pc_o_internal : std_logic;
86
 
87
 
88
   -- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff'
89
   signal mw_U_0reg_cval : std_logic_vector(7 downto 0);
90
 
91
   -- ModuleWare signal declarations(v1.9) for instance 'U_4' of 'adff'
92
   signal mw_U_4reg_cval : std_logic_vector(7 downto 0);
93
 
94
 
95
begin
96
   -- Architecture concurrent statements
97
   -- HDL Embedded Text Block 1 eb1
98
   -- eb1 1
99
   adr_nxt_pc_o(7 DOWNTO 0) <= d;
100
 
101
   -- HDL Embedded Text Block 2 eb2
102
   -- eb1 1
103
   val_zero (7 downto 0) <= X"00";
104
   val_one (7 downto 0) <= X"01";
105
   val_two (7 downto 0) <= X"02";
106
 
107
   -- HDL Embedded Text Block 3 eb3
108
   -- eb1 1
109
   adr_nxt_pc_o(15 DOWNTO 8) <= d1;
110
 
111
 
112
   -- ModuleWare code(v1.9) for instance 'U_11' of 'addsub'
113
   u_11combo_proc: process (dout5, dout1, dout3, val_zero(0))
114
   variable temp_din0 : std_logic_vector(8 downto 0);
115
   variable temp_din1 : std_logic_vector(8 downto 0);
116
   variable temp_sum : unsigned(8 downto 0);
117
   variable temp_carry : std_logic;
118
   variable temp_cout : std_logic;
119
   begin
120
      temp_din0 := '0' & dout5;
121
      temp_din1 := '0' & dout1;
122
      temp_carry := val_zero(0);
123
      if (dout3 = '1') then
124
         temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
125
         temp_cout := temp_sum(8) ;
126
      else
127
         temp_sum := unsigned(temp_din0) - unsigned(temp_din1) - temp_carry;
128
         temp_cout := temp_sum(8) ;
129
      end if;
130
      d <= conv_std_logic_vector(temp_sum(7 downto 0),8);
131
      cout_pc_o_internal <= temp_cout;
132
   end process u_11combo_proc;
133
 
134
   -- ModuleWare code(v1.9) for instance 'U_12' of 'addsub'
135
   u_12combo_proc: process (dout6, offset_i(15 DOWNTO 8), dout3, dout)
136
   variable temp_din0 : std_logic_vector(8 downto 0);
137
   variable temp_din1 : std_logic_vector(8 downto 0);
138
   variable temp_sum : unsigned(8 downto 0);
139
   variable temp_carry : std_logic;
140
   begin
141
      temp_din0 := '0' & dout6;
142
      temp_din1 := '0' & offset_i(15 DOWNTO 8);
143
      temp_carry := dout;
144
      if (dout3 = '1') then
145
         temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
146
      else
147
         temp_sum := unsigned(temp_din0) - unsigned(temp_din1) - temp_carry;
148
      end if;
149
      d1 <= conv_std_logic_vector(temp_sum(7 downto 0),8);
150
   end process u_12combo_proc;
151
 
152
   -- ModuleWare code(v1.9) for instance 'U_0' of 'adff'
153
   adr_pc_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
154
   u_0seq_proc: process (clk_clk_i, rst_rst_i)
155
   begin
156
      if (rst_rst_i = '1') then
157
         mw_U_0reg_cval <= "00000000";
158
      elsif (clk_clk_i'event and clk_clk_i='1') then
159
         if (load = '1') then
160
            mw_U_0reg_cval <= d;
161
         end if;
162
      end if;
163
   end process u_0seq_proc;
164
 
165
   -- ModuleWare code(v1.9) for instance 'U_4' of 'adff'
166
   adr_pc_o_internal(15 DOWNTO 8) <= mw_U_4reg_cval;
167
   u_4seq_proc: process (clk_clk_i, rst_rst_i)
168
   begin
169
      if (rst_rst_i = '1') then
170
         mw_U_4reg_cval <= "00000000";
171
      elsif (clk_clk_i'event and clk_clk_i='1') then
172
         if (load3 = '1') then
173
            mw_U_4reg_cval <= d1;
174
         end if;
175
      end if;
176
   end process u_4seq_proc;
177
 
178
   -- ModuleWare code(v1.9) for instance 'U_6' of 'and'
179
   load <= ld_pc_i and ld_i(0);
180
 
181
   -- ModuleWare code(v1.9) for instance 'U_7' of 'and'
182
   load3 <= ld_pc_i and ld_i(1);
183
 
184
   -- ModuleWare code(v1.9) for instance 'U_10' of 'and'
185
   dout <= cout_pc_o_internal and ld_pc_i;
186
 
187
   -- ModuleWare code(v1.9) for instance 'U_2' of 'inv'
188
   dout3 <= not(sel_pc_as_i);
189
 
190
   -- ModuleWare code(v1.9) for instance 'U_5' of 'mux'
191
   u_5combo_proc: process(val_one, val_two, offset_i(7 DOWNTO 0),
192
                          val_zero, sel_pc_val_i)
193
   begin
194
      case sel_pc_val_i is
195
      when "00" => dout1 <= val_one;
196
      when "01" => dout1 <= val_two;
197
      when "10" => dout1 <= offset_i(7 DOWNTO 0);
198
      when "11" => dout1 <= val_zero;
199
      when others => dout1 <= (others => 'X');
200
      end case;
201
   end process u_5combo_proc;
202
 
203
   -- ModuleWare code(v1.9) for instance 'U_8' of 'mux'
204
   u_8combo_proc: process(adr_pc_o_internal(7 DOWNTO 0),
205
                          adr_i(7 DOWNTO 0), sel_pc_in_i)
206
   begin
207
      case sel_pc_in_i is
208
      when '0' => dout5 <= adr_pc_o_internal(7 DOWNTO 0);
209
      when '1' => dout5 <= adr_i(7 DOWNTO 0);
210
      when others => dout5 <= (others => 'X');
211
      end case;
212
   end process u_8combo_proc;
213
 
214
   -- ModuleWare code(v1.9) for instance 'U_9' of 'mux'
215
   u_9combo_proc: process(adr_pc_o_internal(15 DOWNTO 8),
216
                          adr_i(15 DOWNTO 8), sel_pc_in_i)
217
   begin
218
      case sel_pc_in_i is
219
      when '0' => dout6 <= adr_pc_o_internal(15 DOWNTO 8);
220
      when '1' => dout6 <= adr_i(15 DOWNTO 8);
221
      when others => dout6 <= (others => 'X');
222
      end case;
223
   end process u_9combo_proc;
224
 
225
   -- Instance port mappings.
226
 
227
   -- Implicit buffered output assignments
228
   adr_pc_o  <= adr_pc_o_internal;
229
   cout_pc_o <= cout_pc_o_internal;
230
 
231
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.