OpenCores
URL https://opencores.org/ocsvn/cpu8080/cpu8080/trunk

Subversion Repositories cpu8080

[/] [cpu8080/] [trunk/] [project/] [cpu8080_html/] [fit/] [optionsdoc.htm] - Blame information for rev 33

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 samiam9512
<!doctype HTML public "-//W3C//DTD HTML 4.0 Frameset//EN">
2
 
3
<html>
4
 
5
<!--(==============================================================)-->
6
<!--(Document created with RoboEditor. )============================-->
7
<!--(==============================================================)-->
8
 
9
<head>
10
 
11
<title>Compiler Options</title>
12
 
13
<!--(Meta)==========================================================-->
14
 
15
<meta name=generator content="RoboHELP by eHelp Corporation - www.ehelp.com">
16
<meta name=generator-major-version content=0.1>
17
<meta name=generator-minor-version content=1>
18
<meta name=filetype content=kadov>
19
<meta name=filetype-version content=1>
20
<meta name=page-count content=1>
21
<meta name=layout-height content=3977>
22
<meta name=layout-width content=615>
23
<meta name=date content="05 24, 2002 5:09:37 PM">
24
 
25
 
26
 
27
<style>
28
<!--
29
p.whs1 {font-family: arial, sans-serif; font-size: 10pt;}
30
h1.whs2 {font-size: 18pt; font-family: arial, sans-serif;}
31
p.whs3 {margin-top: 0pt; margin-bottom: 0pt; font-family: arial, sans-serif; font-size: 10pt;}
32
p.whs4 {margin-top: 0pt; margin-bottom: 0pt; font-family: arial, sans-serif; font-size: 10pt;}
33
p.whs5 {margin-top: 0pt; margin-bottom: 0pt; font-family: arial, sans-serif; font-size: 10pt;}
34
p.whs6 {margin-top: 0pt; margin-bottom: 0pt; font-family: arial, sans-serif; font-size: 10pt;}
35
h2.whs7 {font-family: arial, sans-serif;}
36
h4.whs8 {font-family: arial, sans-serif;}
37
p.whs9 {font-family: arial; font-size: 10pt; margin-left: 40px;}
38
p.whs10 {font-family: arial; font-size: 10pt; margin-left: 40px;}
39
p.whs11 {font-family: arial; font-size: 10pt; margin-left: 40px;}
40
p.whs12 {font-family: arial; font-size: 10pt; margin-left: 40px;}
41
p.whs13 {font-family: arial; font-size: 10pt; margin-left: 40px;}
42
p.whs14 {font-family: arial; font-size: 10pt;}
43
p.whs15 {margin-left: 40px;}
44
p.whs16 {font-family: arial, sans-serif; font-size: 10pt;}
45
p.whs17 {font-family: arial, sans-serif; font-size: 10pt;}
46
p.whs18 {margin-left: 40px;}
47
h2.whs19 {font-weight: bold; font-family: arial, sans-serif;}
48
p.whs20 {font-family: arial, sans-serif; font-size: 10pt;}
49
h2.whs21 {font-family: arial, sans-serif;}
50
p.whs22 {font-family: arial, sans-serif; font-size: 10pt;}
51
ul.whs23 {list-style: disc;}
52
h4.whs24 {font-family: arial, sans-serif; font-size: 10pt;}
53
p.whs25 {font-family: arial, sans-serif; font-size: 10pt;}
54
p.whs26 {font-family: arial, sans-serif; font-size: 10pt;}
55
p.whs27 {font-family: arial, sans-serif; font-size: 10pt;}
56
p.whs28 {font-family: arial, sans-serif; font-size: 10pt;}
57
p.whs29 {font-family: arial, sans-serif; font-size: 10pt;}
58
p.whs30 {font-family: arial, sans-serif; font-size: 10pt;}
59
p.whs31 {font-family: arial, sans-serif; font-size: 10pt;}
60
p.whs32 {font-family: arial, sans-serif; font-size: 10pt;}
61
table.whs33 {x-cell-content-align: top; border-spacing: 0; width: 84.797%;}
62
col.whs34 {width: 20.209%;}
63
col.whs35 {width: 16.192%;}
64
col.whs36 {width: 22.217%;}
65
col.whs37 {width: 41.383%;}
66
td.whs38 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
67
p.whs39 {font-weight: bold; font-family: arial, sans-serif; font-size: 10pt;}
68
td.whs40 {border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
69
p.whs41 {font-weight: bold; text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
70
td.whs42 {border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
71
p.whs43 {font-weight: bold; text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
72
td.whs44 {border-top-style: Solid; border-top-color: #000000; border-top-width: 1px; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
73
p.whs45 {font-weight: bold; text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
74
td.whs46 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
75
p.whs47 {font-family: arial, sans-serif; font-size: 10pt;}
76
td.whs48 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
77
p.whs49 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
78
td.whs50 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
79
p.whs51 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
80
td.whs52 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
81
p.whs53 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
82
td.whs54 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
83
p.whs55 {font-family: arial, sans-serif; font-size: 10pt;}
84
td.whs56 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
85
p.whs57 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
86
td.whs58 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
87
p.whs59 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
88
td.whs60 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
89
p.whs61 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
90
td.whs62 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
91
p.whs63 {font-family: arial, sans-serif; font-size: 10pt;}
92
td.whs64 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
93
p.whs65 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
94
td.whs66 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
95
p.whs67 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
96
td.whs68 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
97
p.whs69 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
98
td.whs70 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
99
p.whs71 {font-family: arial, sans-serif; font-size: 10pt;}
100
td.whs72 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
101
p.whs73 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
102
td.whs74 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
103
p.whs75 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
104
td.whs76 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
105
p.whs77 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
106
td.whs78 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
107
p.whs79 {font-family: arial, sans-serif; font-size: 10pt;}
108
td.whs80 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
109
p.whs81 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
110
td.whs82 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
111
p.whs83 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
112
td.whs84 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
113
p.whs85 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
114
td.whs86 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
115
p.whs87 {font-family: arial, sans-serif; font-size: 10pt;}
116
td.whs88 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
117
p.whs89 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
118
td.whs90 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
119
p.whs91 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
120
td.whs92 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
121
p.whs93 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
122
td.whs94 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
123
p.whs95 {font-family: arial, sans-serif; font-size: 10pt;}
124
td.whs96 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
125
p.whs97 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
126
td.whs98 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
127
p.whs99 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
128
td.whs100 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
129
p.whs101 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
130
td.whs102 {border-left-width: 1px; border-left-color: #000000; border-left-style: Solid; border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
131
p.whs103 {font-family: arial, sans-serif; font-size: 10pt;}
132
td.whs104 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
133
p.whs105 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
134
td.whs106 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
135
p.whs107 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
136
td.whs108 {border-right-width: 1px; border-right-color: #000000; border-right-style: Solid; border-bottom-style: Solid; border-bottom-color: #000000; border-bottom-width: 1px; padding-right: 10px; padding-left: 10px;}
137
p.whs109 {text-align: center; font-family: arial, sans-serif; font-size: 10pt;}
138
p.whs110 {font-family: arial, sans-serif; font-size: 10pt;}
139
h2.whs111 {font-family: arial, sans-serif; font-size: 12pt;}
140
p.whs112 {font-family: arial, sans-serif; font-size: 10pt;}
141
ul.whs113 {list-style: disc;}
142
h4.whs114 {font-family: arial, sans-serif; font-size: 10pt;}
143
p.whs115 {font-family: arial, sans-serif; font-size: 10pt;}
144
p.whs116 {font-family: arial, sans-serif; font-size: 10pt;}
145
p.whs117 {font-family: arial, sans-serif; font-size: 10pt;}
146
p.whs118 {font-family: arial, sans-serif; font-size: 10pt;}
147
p.whs119 {margin-left: 40px; font-family: arial, sans-serif; font-size: 10pt;}
148
ul.whs120 {list-style: disc;}
149
p.whs121 {font-family: arial, sans-serif; font-size: 10pt;}
150
h4.whs122 {font-family: arial, sans-serif; font-size: 10pt;}
151
p.whs123 {font-family: arial; font-size: 10pt; margin-left: 40px;}
152
p.whs124 {font-family: arial; font-size: 10pt; margin-left: 40px;}
153
p.whs125 {font-family: arial; font-size: 10pt; margin-left: 40px;}
154
ul.whs126 {list-style: disc;}
155
h4.whs127 {font-family: arial, sans-serif; font-size: 10pt;}
156
p.whs128 {font-family: arial; font-size: 10pt; margin-left: 40px;}
157
 
158
--></style><script>
159
<!--
160
if (navigator.appName == "Netscape")
161
{
162
  var strNSS = "<style>";
163
  strNSS += "p.whs3 {margin-top:1;margin-bottom:1; }";
164
  strNSS += "p.whs4 {margin-top:1;margin-bottom:1; }";
165
  strNSS += "p.whs5 {margin-top:1;margin-bottom:1; }";
166
  strNSS += "p.whs6 {margin-top:1;margin-bottom:1; }";
167
  strNSS +="</style>";
168
  document.write(strNSS);
169
}
170
//-->
171
</script><script language="javascript" title="WebHelpInlineScript">
172
<!--
173
function reDo() {
174
  if (innerWidth != origWidth || innerHeight != origHeight)
175
     location.reload();
176
}
177
if ((parseInt(navigator.appVersion) == 4) && (navigator.appName == "Netscape")) {
178
        origWidth = innerWidth;
179
        origHeight = innerHeight;
180
        onresize = reDo;
181
}
182
//-->
183
</script><style>
184
<!--
185
  div.WebHelpPopupMenu {position:absolute; left:0px; top:0px; z-index:4; visibility:hidden;}
186
  p.WebHelpNavBar {text-align:right;}
187
-->
188
</style>
189
</head>
190
 
191
<!--(Body)==========================================================-->
192
 
193
 
194
<body>
195
 
196
 
197
<h1>Compiler Options</h1>
198
 
199
<p class="whs1">The Compiler
200
 Options page provides all the fitter options settings for the device family
201
 the fitter has selected.</p>
202
 
203
<h1 class="whs2">Fitter Options</h1>
204
 
205
<p class="whs3"><a href="#Basic Tab"
206
                                                                style="font-family: arial, sans-serif; font-size: 10pt;"><span
207
 style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">Basic Tab</a></FONT></span></p>
208
 
209
<p class="whs4"><a href="#XPLA Advanced Options"
210
                                                                style="font-family: arial, sans-serif; font-size: 10pt;"><span
211
 style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">XPLA3 Advanced
212
 Options</a></FONT></span></p>
213
 
214
<p class="whs5"><a href="#CoolRunner-II Advanced Options"
215
                                                                style="font-family: arial, sans-serif; font-size: 10pt;"><span
216
 style="font-family: arial, sans-serif; font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">CoolRunner-II
217
 Advanced Options</a></FONT></span></p>
218
 
219
<p class="whs6"><a href="#XC9500/XL/XV Advanced Options"
220
                                                                style="font-family: arial, sans-serif; font-size: 10pt;">XC9500/XL/XV
221
 Advanced Options</a></p>
222
 
223
<h2 class="whs7"><a name="Basic Tab"></a>Basic
224
 Tab</h2>
225
 
226
<p class=whs1
227
        style="font-family: arial, sans-serif; font-size: 10pt;">The CPLD devices
228
 have the following fitter <span style="font-weight: bold;"><B><b>Implementation
229
 Options </B></span><span style="font-weight: bold;"><B>available</B></span></b> in
230
 the <span style="font-weight: bold;"><B>Basic</B></span> tab:</p>
231
 
232
<ul>
233
 
234
        <li class=kadov-h4><h4 class="whs8">Use
235
 Multi-Level Logic Optimization</h4></li>
236
</ul>
237
 
238
<p class="whs9">This option simplifies the total number
239
 of logic expressions in a design, and then collapses the logic in order
240
 to meet user objectives such as density, speed and timing constraints.
241
 This optimization targets CPLD architecture, making it possible to collapse
242
 to the macrocell limits, reduce levels of logic, and minimize the total
243
 number of p-terms.</p>
244
 
245
<p class="whs10">Multi-level Logic Optimization optimizes
246
 all combinatorial logic arcs spanning from an input pad or register output
247
 to an output pad or register input.</p>
248
 
249
<p class="whs11">Multi-level Logic Optimization operates
250
 on combinatorial logic according to the following rules.</p>
251
 
252
<p class="whs12">If timing constraints are set, the program
253
 optimizes for speed to meet timing constraints.</p>
254
 
255
<p class="whs13">If timing constraints are not set, the
256
 program optimizes either for speed or density, depending on the user setting
257
 for the <span style="font-weight: bold;"><B>Use Timing Optimization</B></span>
258
 option.</p>
259
 
260
<ul>
261
 
262
        <ul class=whs1
263
                style="list-style: disc;"
264
                type=disc>
265
 
266
                <ul class=whs2
267
                        style="list-style: circle;"
268
                        type=circle>
269
 
270
                        <li class=kadov-p><p class="whs14">If
271
 <span style="font-weight: bold;"><B>Use Timing Optimization</B></span> is turned
272
 on, the combinatorial logic will be mapped for speed.</p></li>
273
 
274
                        <li class=kadov-p-Cwhs3><p class=whs3
275
                                                                                style="font-family: arial; font-size: 10pt;">If
276
 <span style="font-weight: bold;"><B>Use Timing Optimization</B></span> is turned
277
 off, the combinatorial logic will be mapped for density. The goal of optimization
278
 will then be to reduce the total number of p-terms.</p></li>
279
                </ul>
280
        </ul>
281
</ul>
282
 
283
<p class="whs15"><font style="font-family: arial; font-size: 10pt;"
284
                                                                        face=arial
285
                                                                        size=2><span style="font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;">Logic
286
 marked with the </FONT></span><span style="font-weight: bold;"><B><b style="font-size: 10pt;">NOREDUCE</b></B></span><span
287
 style="font-size: 10pt;"><FONT SIZE=2 style="font-size:10pt;"> property will not be extracted or optimized.</FONT></span></font></p>
288
 
289
<p class=whs3
290
        style="font-family: arial, sans-serif;
291
                        font-size: 10pt;
292
                        font-weight: bold;
293
                        margin-left: 40px;"><span style="font-family: arial; font-weight: normal;">By
294
 default, this option is on.</span> </p>
295
 
296
<ul>
297
 
298
        <li class=kadov-p-Cwhs3><p class=whs3
299
                                                                style="font-family: arial, sans-serif;
300
                                                                                font-size: 10pt;
301
                                                                                font-weight: bold;"><b style="font-weight: bold;"><span
302
 style="font-weight: bold;"><B>Use Timing Constraints -- </B></span><span style="font-weight: normal;">This
303
 option instructs the fitter use Timing Constraints when fitting the design.
304
 <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->If this
305
 box is not checked, the fitter will ignore timing constraints, if necessary.</span></b></p></li>
306
 
307
        <li class=kadov-p-Cwhs3><p class=whs3
308
                                                                style="font-family: arial, sans-serif; font-size: 10pt;"><b
309
 style="font-weight: bold;"><span style="font-weight: bold;"><B>Enable WYSIWYG
310
 Mode -- (CoolRunner only) </B></span><span style="font-weight: normal;">T</span></b>he
311
 goal of the WYSIWYG options is to have a netlist reflect the user's specifications,
312
 as much as possible. All the nodes declared in the HDL design are preserved.
313
 By default, this property is set to Off (Checkbox is not checked) When
314
 this property is On (checkbox is checked), XST:</p></li>
315
 
316
        <ul>
317
 
318
                <li style="list-style: circle;"
319
                        type=circle
320
                        class=kadov-p-Cwhs3><p class=whs3
321
                                                                        style="font-family: arial, sans-serif; font-size: 10pt;">Preserves
322
 all the user internal signals (nodes)</p></li>
323
 
324
                <li style="list-style: circle;"
325
                        type=circle
326
                        class=kadov-p-Cwhs3><p class=whs3
327
                                                                        style="font-family: arial, sans-serif; font-size: 10pt;">Creates
328
 source_node constraints in NGC file for all these nodes.</p></li>
329
 
330
                <li style="list-style: circle;"
331
                        type=circle
332
                        class=kadov-p-Cwhs3><p class=whs3
333
                                                                        style="font-family: arial, sans-serif; font-size: 10pt;">Skips
334
 the design optimization (collapse, factorization). Only the Boolean equation
335
 minimization is performed.</p></li>
336
        </ul>
337
 
338
        <li class=kadov-p-Cwhs3><p class=whs3
339
                                                                style="font-family: arial, sans-serif; font-size: 10pt;"><b><span
340
 style="font-weight: bold;"><B>Optimization Style</B></span></b>-- The Optimization
341
 Method allows you to select from one of two basic optimization strategies:
342
 <span style="font-weight: bold;"><B><b>Density</b></B></span> or <span style="font-weight: bold;"><B><b>Speed</b></B></span>.
343
 <span style="font-weight: bold;"><B><b>Density</b></B></span> focuses on solely
344
 on density, and <span style="font-weight: bold;"><B><b>Speed</b></B></span> focuses
345
 solely on speed.</p></li>
346
 
347
        <li class=kadov-p><p class="whs16"><span
348
 style="font-weight: bold;"><B>Location Constraints</B></span> -- The Try selection
349
 will attempt to fit the design with the pin assignments specified in the
350
 design source. If the design cannot be fit with these pin assignments,
351
 the fitter will remove the location constraints and attempt to fit the
352
 design with no location constraints. A warning message will tell the user
353
 if the location constraints have been removed.</p></li>
354
 
355
        <ul class=whs5
356
                style="list-style: circle;"
357
                type=circle>
358
 
359
                <li class=kadov-p><p class="whs17">The
360
 <span style="font-weight: bold;"><B><b>Try</b></B></span> selection will attempt
361
 to fit the design with the pin assignments specified in the design source.
362
 If the design cannot be fit with these pin assignments, the fitter will
363
 ignore the pin assignments.</p></li>
364
        </ul>
365
 
366
        <li style="list-style: circle;"
367
                type=circle
368
                class=kadov-p-Cwhs6><p class=whs6
369
                                                                style="font-family: arial, sans-serif; font-size: 10pt;">The
370
 <span style="font-weight: bold;"><B>On </B></span>selection will attempt to fit
371
 the design with the pin assignments specified in the design source. If
372
 the design cannot be fit with these pin assignments, the fitter will notify
373
 the user that the device could not fit. It will not unlock the pins under
374
 this option.</p></li>
375
 
376
        <li style="list-style: circle;"
377
                type=circle
378
                class=kadov-p-Cwhs7><p class=whs7
379
                                                                style="font-family: arial, sans-serif; font-size: 10pt;">The
380
 <span style="font-weight: bold;"><B>Off</B></span> selection will attempt to
381
 fit the design and will ignore the pin assignments specified in the design
382
 source. If the design can be fit with no pre-assigned pins, the fitter
383
 will assign pins, which can be viewed in the fitter report (filename.fit).
384
 The user should take these pin assignments and incorporate them back into
385
 the design source file. The user will be notified whether the fitting
386
 operation was successful.</p></li>
387
 
388
        <li class=kadov-p-Cwhs8><p class=whs8
389
                                                                style="font-family: arial, sans-serif;
390
                                                                                font-size: 10pt;
391
                                                                                font-weight: bold;"><b><span style="font-weight: bold;"><B>Output
392
 Slew Rate -- </B></span></b><span style="font-weight: normal;">Use this option
393
 to control the default output slew rate. You can control the transition
394
 time of device output pins by setting the slew rate to Slow or Fast. Limiting
395
 the slew rate (Slow) reduces output switching surges in the device. The
396
 default is Fast.</span></p></li>
397
</ul>
398
 
399
<p class="whs18"><span style="font-weight: bold;"><B>Note</B></span>:
400
 Any explicit slew rate control properties in the design or constraints
401
 file take precedence over this Output Slew Rate setting. </p>
402
 
403
<ul>
404
 
405
        <li class=kadov-p-Cwhs8><p class=whs8
406
                                                                style="font-family: arial, sans-serif;
407
                                                                                font-size: 10pt;
408
                                                                                font-weight: bold;"><b style="font-weight: bold;"><span
409
 style="font-weight: bold;"><B>FF Initial State -- </B></span><span style="font-weight: normal;">Sets
410
 the initial state for all Flip-Flops. <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->The
411
 options are Low, High and FPGA.</span></b></p></li>
412
 
413
        <li class=kadov-p-Cwhs8><p class=whs8
414
                                                                style="font-family: arial, sans-serif;
415
                                                                                font-size: 10pt;
416
                                                                                font-weight: bold;"><b><span style="font-weight: bold;"><B>Collapsing
417
 P-Term Limit -- </B></span></b><span style="font-weight: normal;">This option
418
 controls the degree to which the fitter flattens a design netlist. A logic
419
 gate can collapse forward into a subsequent gate only if the number of
420
 product terms in the resulting logic function does not exceed the p-term
421
 limit. If the path delay of a logic function is not acceptable, increase
422
 the p-term limit to allow the larger functions to be further flattened.
423
 Choose a number from 3 to 48.</span> </p></li>
424
 
425
        <li class=kadov-p-Cwhs8><p class=whs8
426
                                                                style="font-family: arial, sans-serif;
427
                                                                                font-size: 10pt;
428
                                                                                font-weight: bold;"><b><span style="font-weight: bold;"><B>Collapsing
429
 Input Limit -- </B></span></b><span style="font-weight: normal;">This is a
430
 secondary option for controlling the degree to which the fitter flattens
431
 a design netlist. A logic gate can collapse forward into a subsequent
432
 gate only if the number of inputs in the resulting logic function does
433
 not exceed the input limit. If the design fails to fit the target device
434
 because flattening uses up too many of the function block inputs, decrease
435
 the input limit to prevent flattening of certain high fan-in functions.
436
 </span><!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}--></p></li>
437
</ul>
438
 
439
<h2 class="whs19"><b><span
440
 style="font-weight: bold;"><B><a name="XPLA Advanced Options"></a>XPLA Advanced
441
 Options</B></span></b></h2>
442
 
443
<p class="whs20"><b><span style="font-weight: normal;">The
444
 following options are available under XPLA </span><span style="font-weight: bold;"><B>Implementation
445
 Options</B></span><span style="font-weight: normal;">, </span><span style="font-weight: bold;"><B>Advanced</B></span><span
446
 style="font-weight: normal;"> tab.</span></b></p>
447
 
448
<ul>
449
 
450
        <li class=kadov-p-Cwhs8><p class=whs8
451
                                                                style="font-family: arial, sans-serif;
452
                                                                                font-size: 10pt;
453
                                                                                font-weight: bold;">Enable Fast
454
 Input Registers -<span style="font-weight: normal;">- Enables the use
455
 of the Fast Input path in XPLA3 devices.</span></p></li>
456
 
457
        <li class=kadov-p-Cwhs8><p class=whs8
458
                                                                style="font-family: arial, sans-serif;
459
                                                                                font-size: 10pt;
460
                                                                                font-weight: bold;">Enable Use
461
 of Foldback NANDs -- <span style="font-weight: normal;">When selected,
462
 the software will use foldback NANDs. This increases the capability to
463
 fit a design, sometimes at the expense of speed.</span></p></li>
464
 
465
        <li class=kadov-p-Cwhs8><p class=whs8
466
                                                                style="font-family: arial, sans-serif; font-size: 10pt;"><span
467
 style="font-weight: bold;"><B>Reserve JTAG Pins for ISP -- </B></span>Checking
468
 this box will instruct the fitter to reserve JTAG pins.</p></li>
469
</ul>
470
 
471
<h2 class="whs21"><a name="CoolRunner-II Advanced Options"></a>CoolRunner-II
472
 Advanced Options</h2>
473
 
474
<p class="whs22">The following
475
 options are found under the Advanced tab for CoolRunner-II devices.</p>
476
 
477
<ul type="disc" class="whs23">
478
 
479
        <li class=kadov-h4><h4 class="whs24">Use
480
 Global Clock(s) -- <span style="font-weight: normal;">Select this option
481
 to allow the fitter to assign input pins used as clocks to dedicated global
482
 clock (GCK) pins of the device. If this option is disabled, only pins
483
 identified with the BUFG=CLK property in the design (or UCF file) will
484
 be assigned to GCK device pins. By default, this option is on.</span>
485
 </h4></li>
486
 
487
        <li class=kadov-p><p class="whs25"><span
488
 style="font-weight: bold;"><B>Use Global Output Enable(s)</B></span> -- Select
489
 this option to allow the fitter to assign input pins used as output enable
490
 control to dedicated global OE (GTS) pins of the device. If this option
491
 is disabled, only pins identified with the BUFG=OE property in the design
492
 (or UCF file) will be assigned to GTS device pins. By default, this option
493
 is on. </p></li>
494
 
495
        <li class=kadov-p><p class="whs26"><span
496
 style="font-weight: bold;"><B>Use Global Set/Reset</B></span> -- Select this
497
 option to allow the fitter to assign input pins used as register asynchronous
498
 reset or preset control to the dedicated global set/reset (GSR) pin of
499
 the device. If this option is disabled, only a pin identified with the
500
 BUFG=SR property in the design (or UCF file) will be assigned to the GSR
501
 device pin. By default, this option is on.</p></li>
502
 
503
        <li class=kadov-p><p class="whs27"><span
504
 style="font-weight: bold;"><B>Enable Fast Input Registers</B></span> -- Enables
505
 fast input registers.</p></li>
506
 
507
        <li class=kadov-p><p class="whs28"><span
508
 style="font-weight: bold;"><B>Ignore DATA_GATE Attributes</B></span> -- Data
509
 Gate is a power saving property that can be used in CoolRunner-II designs.
510
 <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->This option
511
 allows you to turn Data Gate off in case you want the fitter to ignore
512
 data gate.</p></li>
513
 
514
        <li class=kadov-p><p class="whs29"><span
515
 style="font-weight: bold;"><B>Tristate Outputs Termination Node</B></span> --
516
 The Tristate Output Termination Mode globally sets all tristate outputs
517
 to the specified termination mode. By default, this field is set to Pullup..
518
 <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->The options
519
 are Pullup, Keeper and Float.</p></li>
520
 
521
        <li class=kadov-p><p class="whs30"><span
522
 style="font-weight: bold;"><B>Create Programmable Ground Pins on Unused I/O</B></span>
523
 -- The Create Programmable GND Pins on Unused I/O property controls the
524
 option to indicate that you want all unused I/O pads to be configured
525
 as ground pins. This can reduce ground bounce. By default, this option
526
 is set to ground. <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->The
527
 options are Ground, Pullup, Keeper and Float.</p></li>
528
 
529
        <li class=kadov-p>
530
        <p class="whs31"><span style="font-weight: bold;"><B>Default
531
 Output Voltage Standard</B></span> -- set a default voltage standard for CoolRunner-II
532
 device pins.</p>
533
 
534
        <p class="whs32">IOSTANDARD
535
 names supported by CoolRunner-II are:</p>
536
 
537
        <!--(Table)=====================================================-->
538
        <table x-use-null-cells width="84.797%" cellspacing="0" class="whs33">
539
        <col class="whs34">
540
        <col class="whs35">
541
        <col class="whs36">
542
        <col class="whs37">
543
 
544
        <tr valign=top>
545
        <td width="20.209%" class="whs38">
546
        <p class="whs39">I/O Standard</td>
547
        <td width="16.192%" class="whs40">
548
        <p align="center" class="whs41">VCC<span style="vertical-align: Sub;">IO</span></td>
549
        <td width="22.217%" class="whs42">
550
        <p align="center" class="whs43">Input V<span style="vertical-align: Sub;">REF</span></td>
551
        <td width="41.383%" class="whs44">
552
        <p align="center" class="whs45">Board Termination Voltage (V<span style="vertical-align: Sub;">TT</span>)</td></tr>
553
 
554
        <tr valign=top>
555
        <td width="20.209%" class="whs46">
556
        <p class="whs47">LVTTL </td>
557
        <td width="16.192%" class="whs48">
558
        <p align="center" class="whs49">3.3V</td>
559
        <td width="22.217%" class="whs50">
560
        <p align="center" class="whs51">N/A</td>
561
        <td width="41.383%" class="whs52">
562
        <p align="center" class="whs53">N/A</td></tr>
563
 
564
        <tr valign=top>
565
        <td width="20.209%" class="whs54">
566
        <p class="whs55">LVCMOS33</td>
567
        <td width="16.192%" class="whs56">
568
        <p align="center" class="whs57">3.3V</td>
569
        <td width="22.217%" class="whs58">
570
        <p align="center" class="whs59">N/A</td>
571
        <td width="41.383%" class="whs60">
572
        <p align="center" class="whs61">N/A</td></tr>
573
 
574
        <tr valign=top>
575
        <td width="20.209%" class="whs62">
576
        <p class="whs63">LVCMOS25</td>
577
        <td width="16.192%" class="whs64">
578
        <p align="center" class="whs65">2.5V</td>
579
        <td width="22.217%" class="whs66">
580
        <p align="center" class="whs67">N/A</td>
581
        <td width="41.383%" class="whs68">
582
        <p align="center" class="whs69">N/A</td></tr>
583
 
584
        <tr valign=top>
585
        <td width="20.209%" class="whs70">
586
        <p class="whs71">LVCMOS18</td>
587
        <td width="16.192%" class="whs72">
588
        <p align="center" class="whs73">1.8V</td>
589
        <td width="22.217%" class="whs74">
590
        <p align="center" class="whs75">N/A</td>
591
        <td width="41.383%" class="whs76">
592
        <p align="center" class="whs77">N/A</td></tr>
593
 
594
        <tr valign=top>
595
        <td width="20.209%" class="whs78">
596
        <p class="whs79">LVCMOS15</td>
597
        <td width="16.192%" class="whs80">
598
        <p align="center" class="whs81">1.5V</td>
599
        <td width="22.217%" class="whs82">
600
        <p align="center" class="whs83">N/A</td>
601
        <td width="41.383%" class="whs84">
602
        <p align="center" class="whs85">N/A</td></tr>
603
 
604
        <tr valign=top>
605
        <td width="20.209%" class="whs86">
606
        <p class="whs87">HSTL_I</td>
607
        <td width="16.192%" class="whs88">
608
        <p align="center" class="whs89">1.5V</td>
609
        <td width="22.217%" class="whs90">
610
        <p align="center" class="whs91">0.75V</td>
611
        <td width="41.383%" class="whs92">
612
        <p align="center" class="whs93">0.75V</td></tr>
613
 
614
        <tr valign=top>
615
        <td width="20.209%" class="whs94">
616
        <p class="whs95">SSTL2_I</td>
617
        <td width="16.192%" class="whs96">
618
        <p align="center" class="whs97">2.5V</td>
619
        <td width="22.217%" class="whs98">
620
        <p align="center" class="whs99">1.25V</td>
621
        <td width="41.383%" class="whs100">
622
        <p align="center" class="whs101">1.25V</td></tr>
623
 
624
        <tr valign=top>
625
        <td width="20.209%" class="whs102">
626
        <p class="whs103">SSTL3_I</td>
627
        <td width="16.192%" class="whs104">
628
        <p align="center" class="whs105">3.3V</td>
629
        <td width="22.217%" class="whs106">
630
        <p align="center" class="whs107">1.5V</td>
631
        <td width="41.383%" class="whs108">
632
        <p align="center" class="whs109">1.5V</td></tr>
633
        </table>
634
 
635
        <p class="whs110">The software
636
 automatically groups outputs with similar IOSTANDARD settings into the
637
 same bank when no location constraints are specified. </p>
638
        </li>
639
</ul>
640
 
641
<h2 class="whs111"><a name="XC9500/XL/XV Advanced Options"></a>XC9500/XL/XV
642
 Advanced Options</h2>
643
 
644
<p class="whs112">The following
645
 options are found under the Advanced tab for XC9500/XL/XV. <!--kadov_tag{{<spaces>}}-->&nbsp;<!--kadov_tag{{</spaces>}}-->Note
646
 that additional options for XC9500 only are also described below.</p>
647
 
648
<ul type="disc" class="whs113">
649
 
650
        <li class=kadov-h4><h4 class="whs114">Use
651
 Global Clock(s) -- <span style="font-weight: normal;">Select this option
652
 to allow the fitter to assign input pins used as clocks to dedicated global
653
 clock (GCK) pins of the device. If this option is disabled, only pins
654
 identified with the BUFG=CLK property in the design (or UCF file) will
655
 be assigned to GCK device pins. By default, this option is on.</span>
656
 </h4></li>
657
 
658
        <li class=kadov-p><p class="whs115"><span
659
 style="font-weight: bold;"><B>Use Global Output Enable(s)</B></span> -- Select
660
 this option to allow the fitter to assign input pins used as output enable
661
 control to dedicated global OE (GTS) pins of the device. If this option
662
 is disabled, only pins identified with the BUFG=OE property in the design
663
 (or UCF file) will be assigned to GTS device pins. By default, this option
664
 is on. </p></li>
665
 
666
        <li class=kadov-p><p class="whs116"><span
667
 style="font-weight: bold;"><B>Use Global Set/Reset</B></span> -- Select this
668
 option to allow the fitter to assign input pins used as register asynchronous
669
 reset or preset control to the dedicated global set/reset (GSR) pin of
670
 the device. If this option is disabled, only a pin identified with the
671
 BUFG=SR property in the design (or UCF file) will be assigned to the GSR
672
 device pin. By default, this option is on.</p></li>
673
 
674
        <li class=kadov-p><p class="whs117"><span
675
 style="font-weight: bold;"><B>Create Programmable Ground Pins on Unused I/O</B></span>
676
 -- Select this option to indicate that you want all unused I/O pads to
677
 be configured as ground pins. This can reduce ground bounce. By default,
678
 this option is off.</p></li>
679
 
680
        <li class=kadov-p><p class="whs118"><span
681
 style="font-weight: bold;"><B>Macrocell Power Setting</B></span> -- Use this
682
 option to control device power consumption. Select Low or Standard to
683
 set the default power mode for the macrocells used to implement the design.
684
 Select Timing Driven to automatically reduce power on paths covered by
685
 timing specifications that can meet speed requirements while operating
686
 in low power. The default is Standard, which results in highest speed.</p></li>
687
</ul>
688
 
689
<p class="whs119">Note: Any explicit power control (PWR_MODE)
690
 properties in the design or constraints file take precedence over this
691
 Macrocell Power Setting. </p>
692
 
693
<ul type="disc" class="whs120">
694
 
695
        <li class=kadov-p><p class="whs121"><span
696
 style="font-weight: bold;"><B>Enable FASTConnect/UIM Optimization (XC9500
697
 only)</B></span> -- Enables optimization of the FASTConnect/UIM for XC9500
698
 devices.</p></li>
699
 
700
        <li class=kadov-h4><h4 class="whs122">Use
701
 Local Feedback (XC9500 only)</h4></li>
702
</ul>
703
 
704
<p class="whs123">Select this option to enable the software
705
 to use local macrocell feedback whenever possible. The local feedback
706
 path, running from each macrocell output to an input of the same function
707
 block, has shorter propagation delay than the global feedback path. The
708
 fitter always tries to use local macrocell feedback (if possible) to satisfy
709
 timing constraints. This option allows the fitter to use local feedback
710
 to generally improve timing on remaining paths. Using local feedback can
711
 speed up your design but could also make it difficult to maintain the
712
 same timing after a design change. By default, this option is on.</p>
713
 
714
 
715
<!--(HR)============================================================-->
716
<hr class=whs4
717
        style="margin-left: 40px; /*begin!kadov{{*/ float: aligncenter; /*}}end!kadov*/ "
718
        align=center>
719
 
720
 
721
<p class="whs124"><b><span style="font-weight: bold;"><B>Note:
722
 </B></span></b>To force the fitter to use local feedback, manually map both
723
 the source and load functions into the same function block using the property
724
 <span style="font-weight: bold;"><B><b>LOC=FB</b></B></span><span style="font-style: italic;"><I><i>nn</i></I></span>,
725
 then apply a timespec across the path.&nbsp;</p>
726
 
727
 
728
<!--(HR)============================================================-->
729
<hr class=whs5
730
        style="margin-left: 40px; /*begin!kadov{{*/ float: aligncenter; /*}}end!kadov*/ "
731
        align=center>
732
 
733
 
734
<p class="whs125"><span><FONT SIZE=2 style="font-size:10pt;"><b style="font-weight: bold;">Note:
735
 </b></FONT></span>The XC9536 device does not have local feedback.</p>
736
 
737
 
738
<!--(HR)============================================================-->
739
<hr class=whs6
740
        style="margin-left: 40px; /*begin!kadov{{*/ float: aligncenter; /*}}end!kadov*/ "
741
        align=center>
742
 
743
 
744
<ul type="disc" class="whs126">
745
 
746
        <li class=kadov-h4><h4 class="whs127">Use
747
 Pin Feedback (XC9500 only)</h4></li>
748
</ul>
749
 
750
<p class="whs128">Select this option to enable the software
751
 to use I/O pin feedback whenever possible. The pin feedback path has slightly
752
 shorter propagation delay than the global feedback path. If this option
753
 is enabled, the software uses the pin feedback path instead of the global
754
 feedback path for macrocell signals that do not drive 3-state outputs
755
 or slew-rate-limited outputs, and where the associated I/O pin is not
756
 used as input-only. By default, this option is on.</p>
757
 
758
</body>
759
 
760
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.